diff --git a/FASEC_prototype.srcs/constrs_1/new/fasec_constraints_synth.xdc b/FASEC_prototype.srcs/constrs_1/new/fasec_constraints_synth.xdc index 1f7079d120b44989b5e562bd25c2c5158957cf72..b94f623d021734bacac8b62c5ad467f39c5d65b2 100644 --- a/FASEC_prototype.srcs/constrs_1/new/fasec_constraints_synth.xdc +++ b/FASEC_prototype.srcs/constrs_1/new/fasec_constraints_synth.xdc @@ -352,3 +352,8 @@ set_property PACKAGE_PIN AD20 [get_ports i2c_master_fmcx_scl_io] set_property PACKAGE_PIN AD21 [get_ports i2c_master_fmcx_sda_io] set_property IOSTANDARD LVCMOS25 [get_ports i2c_master_fmcx_scl_io] set_property IOSTANDARD LVCMOS25 [get_ports i2c_master_fmcx_sda_io] + +set_property IOSTANDARD LVCMOS18 [get_ports i2c_master_mdio_scl_io] +set_property PACKAGE_PIN B16 [get_ports i2c_master_mdio_scl_io] +set_property PACKAGE_PIN B15 [get_ports i2c_master_mdio_sda_io] +set_property IOSTANDARD LVCMOS18 [get_ports i2c_master_mdio_sda_io] diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.hwdef b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.hwdef index 94bc0553b1ae4b67fe880310e7688d561795dfff..b12ce8727eada4c62e1302325f99267098911d23 100644 Binary files a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.hwdef and b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.hwdef differ diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.vhd index 21496934d7fb08b1ce1b5fe11946e1b314c2db47..6356d7bb762338b759f86d4b6e442d012523be93 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.vhd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.vhd @@ -1,7 +1,7 @@ --Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 ---Date : Thu Oct 12 09:59:12 2017 +--Date : Mon Dec 18 11:23:02 2017 --Host : lapte24154 running 64-bit openSUSE Leap 42.2 --Command : generate_target system_design.bd --Design : system_design @@ -122,6 +122,518 @@ library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; +entity m00_couplers_imp_OXX3DM is + port ( + M_ACLK : in STD_LOGIC; + M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); + M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + M_AXI_arready : in STD_LOGIC; + M_AXI_arvalid : out STD_LOGIC; + M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + M_AXI_awready : in STD_LOGIC; + M_AXI_awvalid : out STD_LOGIC; + M_AXI_bready : out STD_LOGIC; + M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + M_AXI_bvalid : in STD_LOGIC; + M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_rready : out STD_LOGIC; + M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + M_AXI_rvalid : in STD_LOGIC; + M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_wready : in STD_LOGIC; + M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); + M_AXI_wvalid : out STD_LOGIC; + S_ACLK : in STD_LOGIC; + S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); + S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + S_AXI_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + S_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + S_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + S_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + S_AXI_arready : out STD_LOGIC; + S_AXI_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); + S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + S_AXI_arvalid : in STD_LOGIC; + S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + S_AXI_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + S_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + S_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + S_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + S_AXI_awready : out STD_LOGIC; + S_AXI_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); + S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + S_AXI_awvalid : in STD_LOGIC; + S_AXI_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + S_AXI_bready : in STD_LOGIC; + S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + S_AXI_bvalid : out STD_LOGIC; + S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + S_AXI_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + S_AXI_rlast : out STD_LOGIC; + S_AXI_rready : in STD_LOGIC; + S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + S_AXI_rvalid : out STD_LOGIC; + S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + S_AXI_wlast : in STD_LOGIC; + S_AXI_wready : out STD_LOGIC; + S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + S_AXI_wvalid : in STD_LOGIC + ); +end m00_couplers_imp_OXX3DM; + +architecture STRUCTURE of m00_couplers_imp_OXX3DM is + component system_design_auto_pc_2 is + port ( + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awvalid : in STD_LOGIC; + s_axi_awready : out STD_LOGIC; + s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_wlast : in STD_LOGIC; + s_axi_wvalid : in STD_LOGIC; + s_axi_wready : out STD_LOGIC; + s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_bvalid : out STD_LOGIC; + s_axi_bready : in STD_LOGIC; + s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arvalid : in STD_LOGIC; + s_axi_arready : out STD_LOGIC; + s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_rlast : out STD_LOGIC; + s_axi_rvalid : out STD_LOGIC; + s_axi_rready : in STD_LOGIC; + m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awvalid : out STD_LOGIC; + m_axi_awready : in STD_LOGIC; + m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_wvalid : out STD_LOGIC; + m_axi_wready : in STD_LOGIC; + m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bvalid : in STD_LOGIC; + m_axi_bready : out STD_LOGIC; + m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arvalid : out STD_LOGIC; + m_axi_arready : in STD_LOGIC; + m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rvalid : in STD_LOGIC; + m_axi_rready : out STD_LOGIC + ); + end component system_design_auto_pc_2; + signal S_ACLK_1 : STD_LOGIC; + signal S_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); + signal auto_pc_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal auto_pc_to_m00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal auto_pc_to_m00_couplers_ARREADY : STD_LOGIC; + signal auto_pc_to_m00_couplers_ARVALID : STD_LOGIC; + signal auto_pc_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal auto_pc_to_m00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal auto_pc_to_m00_couplers_AWREADY : STD_LOGIC; + signal auto_pc_to_m00_couplers_AWVALID : STD_LOGIC; + signal auto_pc_to_m00_couplers_BREADY : STD_LOGIC; + signal auto_pc_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal auto_pc_to_m00_couplers_BVALID : STD_LOGIC; + signal auto_pc_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal auto_pc_to_m00_couplers_RREADY : STD_LOGIC; + signal auto_pc_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal auto_pc_to_m00_couplers_RVALID : STD_LOGIC; + signal auto_pc_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal auto_pc_to_m00_couplers_WREADY : STD_LOGIC; + signal auto_pc_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal auto_pc_to_m00_couplers_WVALID : STD_LOGIC; + signal m00_couplers_to_auto_pc_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m00_couplers_to_auto_pc_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal m00_couplers_to_auto_pc_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal m00_couplers_to_auto_pc_ARID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal m00_couplers_to_auto_pc_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal m00_couplers_to_auto_pc_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); + signal m00_couplers_to_auto_pc_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal m00_couplers_to_auto_pc_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal m00_couplers_to_auto_pc_ARREADY : STD_LOGIC; + signal m00_couplers_to_auto_pc_ARREGION : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal m00_couplers_to_auto_pc_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal m00_couplers_to_auto_pc_ARVALID : STD_LOGIC; + signal m00_couplers_to_auto_pc_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m00_couplers_to_auto_pc_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal m00_couplers_to_auto_pc_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal m00_couplers_to_auto_pc_AWID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal m00_couplers_to_auto_pc_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal m00_couplers_to_auto_pc_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); + signal m00_couplers_to_auto_pc_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal m00_couplers_to_auto_pc_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal m00_couplers_to_auto_pc_AWREADY : STD_LOGIC; + signal m00_couplers_to_auto_pc_AWREGION : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal m00_couplers_to_auto_pc_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal m00_couplers_to_auto_pc_AWVALID : STD_LOGIC; + signal m00_couplers_to_auto_pc_BID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal m00_couplers_to_auto_pc_BREADY : STD_LOGIC; + signal m00_couplers_to_auto_pc_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal m00_couplers_to_auto_pc_BVALID : STD_LOGIC; + signal m00_couplers_to_auto_pc_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m00_couplers_to_auto_pc_RID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal m00_couplers_to_auto_pc_RLAST : STD_LOGIC; + signal m00_couplers_to_auto_pc_RREADY : STD_LOGIC; + signal m00_couplers_to_auto_pc_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal m00_couplers_to_auto_pc_RVALID : STD_LOGIC; + signal m00_couplers_to_auto_pc_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m00_couplers_to_auto_pc_WLAST : STD_LOGIC; + signal m00_couplers_to_auto_pc_WREADY : STD_LOGIC; + signal m00_couplers_to_auto_pc_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal m00_couplers_to_auto_pc_WVALID : STD_LOGIC; +begin + M_AXI_araddr(31 downto 0) <= auto_pc_to_m00_couplers_ARADDR(31 downto 0); + M_AXI_arprot(2 downto 0) <= auto_pc_to_m00_couplers_ARPROT(2 downto 0); + M_AXI_arvalid <= auto_pc_to_m00_couplers_ARVALID; + M_AXI_awaddr(31 downto 0) <= auto_pc_to_m00_couplers_AWADDR(31 downto 0); + M_AXI_awprot(2 downto 0) <= auto_pc_to_m00_couplers_AWPROT(2 downto 0); + M_AXI_awvalid <= auto_pc_to_m00_couplers_AWVALID; + M_AXI_bready <= auto_pc_to_m00_couplers_BREADY; + M_AXI_rready <= auto_pc_to_m00_couplers_RREADY; + M_AXI_wdata(31 downto 0) <= auto_pc_to_m00_couplers_WDATA(31 downto 0); + M_AXI_wstrb(3 downto 0) <= auto_pc_to_m00_couplers_WSTRB(3 downto 0); + M_AXI_wvalid <= auto_pc_to_m00_couplers_WVALID; + S_ACLK_1 <= S_ACLK; + S_ARESETN_1(0) <= S_ARESETN(0); + S_AXI_arready <= m00_couplers_to_auto_pc_ARREADY; + S_AXI_awready <= m00_couplers_to_auto_pc_AWREADY; + S_AXI_bid(11 downto 0) <= m00_couplers_to_auto_pc_BID(11 downto 0); + S_AXI_bresp(1 downto 0) <= m00_couplers_to_auto_pc_BRESP(1 downto 0); + S_AXI_bvalid <= m00_couplers_to_auto_pc_BVALID; + S_AXI_rdata(31 downto 0) <= m00_couplers_to_auto_pc_RDATA(31 downto 0); + S_AXI_rid(11 downto 0) <= m00_couplers_to_auto_pc_RID(11 downto 0); + S_AXI_rlast <= m00_couplers_to_auto_pc_RLAST; + S_AXI_rresp(1 downto 0) <= m00_couplers_to_auto_pc_RRESP(1 downto 0); + S_AXI_rvalid <= m00_couplers_to_auto_pc_RVALID; + S_AXI_wready <= m00_couplers_to_auto_pc_WREADY; + auto_pc_to_m00_couplers_ARREADY <= M_AXI_arready; + auto_pc_to_m00_couplers_AWREADY <= M_AXI_awready; + auto_pc_to_m00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); + auto_pc_to_m00_couplers_BVALID <= M_AXI_bvalid; + auto_pc_to_m00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); + auto_pc_to_m00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); + auto_pc_to_m00_couplers_RVALID <= M_AXI_rvalid; + auto_pc_to_m00_couplers_WREADY <= M_AXI_wready; + m00_couplers_to_auto_pc_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); + m00_couplers_to_auto_pc_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0); + m00_couplers_to_auto_pc_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0); + m00_couplers_to_auto_pc_ARID(11 downto 0) <= S_AXI_arid(11 downto 0); + m00_couplers_to_auto_pc_ARLEN(7 downto 0) <= S_AXI_arlen(7 downto 0); + m00_couplers_to_auto_pc_ARLOCK(0) <= S_AXI_arlock(0); + m00_couplers_to_auto_pc_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); + m00_couplers_to_auto_pc_ARQOS(3 downto 0) <= S_AXI_arqos(3 downto 0); + m00_couplers_to_auto_pc_ARREGION(3 downto 0) <= S_AXI_arregion(3 downto 0); + m00_couplers_to_auto_pc_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0); + m00_couplers_to_auto_pc_ARVALID <= S_AXI_arvalid; + m00_couplers_to_auto_pc_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); + m00_couplers_to_auto_pc_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0); + m00_couplers_to_auto_pc_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0); + m00_couplers_to_auto_pc_AWID(11 downto 0) <= S_AXI_awid(11 downto 0); + m00_couplers_to_auto_pc_AWLEN(7 downto 0) <= S_AXI_awlen(7 downto 0); + m00_couplers_to_auto_pc_AWLOCK(0) <= S_AXI_awlock(0); + m00_couplers_to_auto_pc_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); + m00_couplers_to_auto_pc_AWQOS(3 downto 0) <= S_AXI_awqos(3 downto 0); + m00_couplers_to_auto_pc_AWREGION(3 downto 0) <= S_AXI_awregion(3 downto 0); + m00_couplers_to_auto_pc_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0); + m00_couplers_to_auto_pc_AWVALID <= S_AXI_awvalid; + m00_couplers_to_auto_pc_BREADY <= S_AXI_bready; + m00_couplers_to_auto_pc_RREADY <= S_AXI_rready; + m00_couplers_to_auto_pc_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); + m00_couplers_to_auto_pc_WLAST <= S_AXI_wlast; + m00_couplers_to_auto_pc_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); + m00_couplers_to_auto_pc_WVALID <= S_AXI_wvalid; +auto_pc: component system_design_auto_pc_2 + port map ( + aclk => S_ACLK_1, + aresetn => S_ARESETN_1(0), + m_axi_araddr(31 downto 0) => auto_pc_to_m00_couplers_ARADDR(31 downto 0), + m_axi_arprot(2 downto 0) => auto_pc_to_m00_couplers_ARPROT(2 downto 0), + m_axi_arready => auto_pc_to_m00_couplers_ARREADY, + m_axi_arvalid => auto_pc_to_m00_couplers_ARVALID, + m_axi_awaddr(31 downto 0) => auto_pc_to_m00_couplers_AWADDR(31 downto 0), + m_axi_awprot(2 downto 0) => auto_pc_to_m00_couplers_AWPROT(2 downto 0), + m_axi_awready => auto_pc_to_m00_couplers_AWREADY, + m_axi_awvalid => auto_pc_to_m00_couplers_AWVALID, + m_axi_bready => auto_pc_to_m00_couplers_BREADY, + m_axi_bresp(1 downto 0) => auto_pc_to_m00_couplers_BRESP(1 downto 0), + m_axi_bvalid => auto_pc_to_m00_couplers_BVALID, + m_axi_rdata(31 downto 0) => auto_pc_to_m00_couplers_RDATA(31 downto 0), + m_axi_rready => auto_pc_to_m00_couplers_RREADY, + m_axi_rresp(1 downto 0) => auto_pc_to_m00_couplers_RRESP(1 downto 0), + m_axi_rvalid => auto_pc_to_m00_couplers_RVALID, + m_axi_wdata(31 downto 0) => auto_pc_to_m00_couplers_WDATA(31 downto 0), + m_axi_wready => auto_pc_to_m00_couplers_WREADY, + m_axi_wstrb(3 downto 0) => auto_pc_to_m00_couplers_WSTRB(3 downto 0), + m_axi_wvalid => auto_pc_to_m00_couplers_WVALID, + s_axi_araddr(31 downto 0) => m00_couplers_to_auto_pc_ARADDR(31 downto 0), + s_axi_arburst(1 downto 0) => m00_couplers_to_auto_pc_ARBURST(1 downto 0), + s_axi_arcache(3 downto 0) => m00_couplers_to_auto_pc_ARCACHE(3 downto 0), + s_axi_arid(11 downto 0) => m00_couplers_to_auto_pc_ARID(11 downto 0), + s_axi_arlen(7 downto 0) => m00_couplers_to_auto_pc_ARLEN(7 downto 0), + s_axi_arlock(0) => m00_couplers_to_auto_pc_ARLOCK(0), + s_axi_arprot(2 downto 0) => m00_couplers_to_auto_pc_ARPROT(2 downto 0), + s_axi_arqos(3 downto 0) => m00_couplers_to_auto_pc_ARQOS(3 downto 0), + s_axi_arready => m00_couplers_to_auto_pc_ARREADY, + s_axi_arregion(3 downto 0) => m00_couplers_to_auto_pc_ARREGION(3 downto 0), + s_axi_arsize(2 downto 0) => m00_couplers_to_auto_pc_ARSIZE(2 downto 0), + s_axi_arvalid => m00_couplers_to_auto_pc_ARVALID, + s_axi_awaddr(31 downto 0) => m00_couplers_to_auto_pc_AWADDR(31 downto 0), + s_axi_awburst(1 downto 0) => m00_couplers_to_auto_pc_AWBURST(1 downto 0), + s_axi_awcache(3 downto 0) => m00_couplers_to_auto_pc_AWCACHE(3 downto 0), + s_axi_awid(11 downto 0) => m00_couplers_to_auto_pc_AWID(11 downto 0), + s_axi_awlen(7 downto 0) => m00_couplers_to_auto_pc_AWLEN(7 downto 0), + s_axi_awlock(0) => m00_couplers_to_auto_pc_AWLOCK(0), + s_axi_awprot(2 downto 0) => m00_couplers_to_auto_pc_AWPROT(2 downto 0), + s_axi_awqos(3 downto 0) => m00_couplers_to_auto_pc_AWQOS(3 downto 0), + s_axi_awready => m00_couplers_to_auto_pc_AWREADY, + s_axi_awregion(3 downto 0) => m00_couplers_to_auto_pc_AWREGION(3 downto 0), + s_axi_awsize(2 downto 0) => m00_couplers_to_auto_pc_AWSIZE(2 downto 0), + s_axi_awvalid => m00_couplers_to_auto_pc_AWVALID, + s_axi_bid(11 downto 0) => m00_couplers_to_auto_pc_BID(11 downto 0), + s_axi_bready => m00_couplers_to_auto_pc_BREADY, + s_axi_bresp(1 downto 0) => m00_couplers_to_auto_pc_BRESP(1 downto 0), + s_axi_bvalid => m00_couplers_to_auto_pc_BVALID, + s_axi_rdata(31 downto 0) => m00_couplers_to_auto_pc_RDATA(31 downto 0), + s_axi_rid(11 downto 0) => m00_couplers_to_auto_pc_RID(11 downto 0), + s_axi_rlast => m00_couplers_to_auto_pc_RLAST, + s_axi_rready => m00_couplers_to_auto_pc_RREADY, + s_axi_rresp(1 downto 0) => m00_couplers_to_auto_pc_RRESP(1 downto 0), + s_axi_rvalid => m00_couplers_to_auto_pc_RVALID, + s_axi_wdata(31 downto 0) => m00_couplers_to_auto_pc_WDATA(31 downto 0), + s_axi_wlast => m00_couplers_to_auto_pc_WLAST, + s_axi_wready => m00_couplers_to_auto_pc_WREADY, + s_axi_wstrb(3 downto 0) => m00_couplers_to_auto_pc_WSTRB(3 downto 0), + s_axi_wvalid => m00_couplers_to_auto_pc_WVALID + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity m01_couplers_imp_1HZPTVY is + port ( + M_ACLK : in STD_LOGIC; + M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); + M_AXI_araddr : out STD_LOGIC; + M_AXI_arburst : out STD_LOGIC; + M_AXI_arcache : out STD_LOGIC; + M_AXI_arlen : out STD_LOGIC; + M_AXI_arlock : out STD_LOGIC; + M_AXI_arprot : out STD_LOGIC; + M_AXI_arqos : out STD_LOGIC; + M_AXI_arready : in STD_LOGIC; + M_AXI_arregion : out STD_LOGIC; + M_AXI_arsize : out STD_LOGIC; + M_AXI_arvalid : out STD_LOGIC; + M_AXI_awaddr : out STD_LOGIC; + M_AXI_awburst : out STD_LOGIC; + M_AXI_awcache : out STD_LOGIC; + M_AXI_awlen : out STD_LOGIC; + M_AXI_awlock : out STD_LOGIC; + M_AXI_awprot : out STD_LOGIC; + M_AXI_awqos : out STD_LOGIC; + M_AXI_awready : in STD_LOGIC; + M_AXI_awregion : out STD_LOGIC; + M_AXI_awsize : out STD_LOGIC; + M_AXI_awvalid : out STD_LOGIC; + M_AXI_bready : out STD_LOGIC; + M_AXI_bresp : in STD_LOGIC; + M_AXI_bvalid : in STD_LOGIC; + M_AXI_rdata : in STD_LOGIC; + M_AXI_rlast : in STD_LOGIC; + M_AXI_rready : out STD_LOGIC; + M_AXI_rresp : in STD_LOGIC; + M_AXI_rvalid : in STD_LOGIC; + M_AXI_wdata : out STD_LOGIC; + M_AXI_wlast : out STD_LOGIC; + M_AXI_wready : in STD_LOGIC; + M_AXI_wstrb : out STD_LOGIC; + M_AXI_wvalid : out STD_LOGIC; + S_ACLK : in STD_LOGIC; + S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); + S_AXI_araddr : in STD_LOGIC; + S_AXI_arburst : in STD_LOGIC; + S_AXI_arcache : in STD_LOGIC; + S_AXI_arlen : in STD_LOGIC; + S_AXI_arlock : in STD_LOGIC; + S_AXI_arprot : in STD_LOGIC; + S_AXI_arqos : in STD_LOGIC; + S_AXI_arready : out STD_LOGIC; + S_AXI_arregion : in STD_LOGIC; + S_AXI_arsize : in STD_LOGIC; + S_AXI_arvalid : in STD_LOGIC; + S_AXI_awaddr : in STD_LOGIC; + S_AXI_awburst : in STD_LOGIC; + S_AXI_awcache : in STD_LOGIC; + S_AXI_awlen : in STD_LOGIC; + S_AXI_awlock : in STD_LOGIC; + S_AXI_awprot : in STD_LOGIC; + S_AXI_awqos : in STD_LOGIC; + S_AXI_awready : out STD_LOGIC; + S_AXI_awregion : in STD_LOGIC; + S_AXI_awsize : in STD_LOGIC; + S_AXI_awvalid : in STD_LOGIC; + S_AXI_bready : in STD_LOGIC; + S_AXI_bresp : out STD_LOGIC; + S_AXI_bvalid : out STD_LOGIC; + S_AXI_rdata : out STD_LOGIC; + S_AXI_rlast : out STD_LOGIC; + S_AXI_rready : in STD_LOGIC; + S_AXI_rresp : out STD_LOGIC; + S_AXI_rvalid : out STD_LOGIC; + S_AXI_wdata : in STD_LOGIC; + S_AXI_wlast : in STD_LOGIC; + S_AXI_wready : out STD_LOGIC; + S_AXI_wstrb : in STD_LOGIC; + S_AXI_wvalid : in STD_LOGIC + ); +end m01_couplers_imp_1HZPTVY; + +architecture STRUCTURE of m01_couplers_imp_1HZPTVY is + signal m01_couplers_to_m01_couplers_ARADDR : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARBURST : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARCACHE : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARLEN : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARLOCK : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARPROT : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARQOS : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARREADY : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARREGION : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARSIZE : STD_LOGIC; + signal m01_couplers_to_m01_couplers_ARVALID : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWADDR : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWBURST : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWCACHE : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWLEN : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWLOCK : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWPROT : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWQOS : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWREADY : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWREGION : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWSIZE : STD_LOGIC; + signal m01_couplers_to_m01_couplers_AWVALID : STD_LOGIC; + signal m01_couplers_to_m01_couplers_BREADY : STD_LOGIC; + signal m01_couplers_to_m01_couplers_BRESP : STD_LOGIC; + signal m01_couplers_to_m01_couplers_BVALID : STD_LOGIC; + signal m01_couplers_to_m01_couplers_RDATA : STD_LOGIC; + signal m01_couplers_to_m01_couplers_RLAST : STD_LOGIC; + signal m01_couplers_to_m01_couplers_RREADY : STD_LOGIC; + signal m01_couplers_to_m01_couplers_RRESP : STD_LOGIC; + signal m01_couplers_to_m01_couplers_RVALID : STD_LOGIC; + signal m01_couplers_to_m01_couplers_WDATA : STD_LOGIC; + signal m01_couplers_to_m01_couplers_WLAST : STD_LOGIC; + signal m01_couplers_to_m01_couplers_WREADY : STD_LOGIC; + signal m01_couplers_to_m01_couplers_WSTRB : STD_LOGIC; + signal m01_couplers_to_m01_couplers_WVALID : STD_LOGIC; +begin + M_AXI_araddr <= m01_couplers_to_m01_couplers_ARADDR; + M_AXI_arburst <= m01_couplers_to_m01_couplers_ARBURST; + M_AXI_arcache <= m01_couplers_to_m01_couplers_ARCACHE; + M_AXI_arlen <= m01_couplers_to_m01_couplers_ARLEN; + M_AXI_arlock <= m01_couplers_to_m01_couplers_ARLOCK; + M_AXI_arprot <= m01_couplers_to_m01_couplers_ARPROT; + M_AXI_arqos <= m01_couplers_to_m01_couplers_ARQOS; + M_AXI_arregion <= m01_couplers_to_m01_couplers_ARREGION; + M_AXI_arsize <= m01_couplers_to_m01_couplers_ARSIZE; + M_AXI_arvalid <= m01_couplers_to_m01_couplers_ARVALID; + M_AXI_awaddr <= m01_couplers_to_m01_couplers_AWADDR; + M_AXI_awburst <= m01_couplers_to_m01_couplers_AWBURST; + M_AXI_awcache <= m01_couplers_to_m01_couplers_AWCACHE; + M_AXI_awlen <= m01_couplers_to_m01_couplers_AWLEN; + M_AXI_awlock <= m01_couplers_to_m01_couplers_AWLOCK; + M_AXI_awprot <= m01_couplers_to_m01_couplers_AWPROT; + M_AXI_awqos <= m01_couplers_to_m01_couplers_AWQOS; + M_AXI_awregion <= m01_couplers_to_m01_couplers_AWREGION; + M_AXI_awsize <= m01_couplers_to_m01_couplers_AWSIZE; + M_AXI_awvalid <= m01_couplers_to_m01_couplers_AWVALID; + M_AXI_bready <= m01_couplers_to_m01_couplers_BREADY; + M_AXI_rready <= m01_couplers_to_m01_couplers_RREADY; + M_AXI_wdata <= m01_couplers_to_m01_couplers_WDATA; + M_AXI_wlast <= m01_couplers_to_m01_couplers_WLAST; + M_AXI_wstrb <= m01_couplers_to_m01_couplers_WSTRB; + M_AXI_wvalid <= m01_couplers_to_m01_couplers_WVALID; + S_AXI_arready <= m01_couplers_to_m01_couplers_ARREADY; + S_AXI_awready <= m01_couplers_to_m01_couplers_AWREADY; + S_AXI_bresp <= m01_couplers_to_m01_couplers_BRESP; + S_AXI_bvalid <= m01_couplers_to_m01_couplers_BVALID; + S_AXI_rdata <= m01_couplers_to_m01_couplers_RDATA; + S_AXI_rlast <= m01_couplers_to_m01_couplers_RLAST; + S_AXI_rresp <= m01_couplers_to_m01_couplers_RRESP; + S_AXI_rvalid <= m01_couplers_to_m01_couplers_RVALID; + S_AXI_wready <= m01_couplers_to_m01_couplers_WREADY; + m01_couplers_to_m01_couplers_ARADDR <= S_AXI_araddr; + m01_couplers_to_m01_couplers_ARBURST <= S_AXI_arburst; + m01_couplers_to_m01_couplers_ARCACHE <= S_AXI_arcache; + m01_couplers_to_m01_couplers_ARLEN <= S_AXI_arlen; + m01_couplers_to_m01_couplers_ARLOCK <= S_AXI_arlock; + m01_couplers_to_m01_couplers_ARPROT <= S_AXI_arprot; + m01_couplers_to_m01_couplers_ARQOS <= S_AXI_arqos; + m01_couplers_to_m01_couplers_ARREADY <= M_AXI_arready; + m01_couplers_to_m01_couplers_ARREGION <= S_AXI_arregion; + m01_couplers_to_m01_couplers_ARSIZE <= S_AXI_arsize; + m01_couplers_to_m01_couplers_ARVALID <= S_AXI_arvalid; + m01_couplers_to_m01_couplers_AWADDR <= S_AXI_awaddr; + m01_couplers_to_m01_couplers_AWBURST <= S_AXI_awburst; + m01_couplers_to_m01_couplers_AWCACHE <= S_AXI_awcache; + m01_couplers_to_m01_couplers_AWLEN <= S_AXI_awlen; + m01_couplers_to_m01_couplers_AWLOCK <= S_AXI_awlock; + m01_couplers_to_m01_couplers_AWPROT <= S_AXI_awprot; + m01_couplers_to_m01_couplers_AWQOS <= S_AXI_awqos; + m01_couplers_to_m01_couplers_AWREADY <= M_AXI_awready; + m01_couplers_to_m01_couplers_AWREGION <= S_AXI_awregion; + m01_couplers_to_m01_couplers_AWSIZE <= S_AXI_awsize; + m01_couplers_to_m01_couplers_AWVALID <= S_AXI_awvalid; + m01_couplers_to_m01_couplers_BREADY <= S_AXI_bready; + m01_couplers_to_m01_couplers_BRESP <= M_AXI_bresp; + m01_couplers_to_m01_couplers_BVALID <= M_AXI_bvalid; + m01_couplers_to_m01_couplers_RDATA <= M_AXI_rdata; + m01_couplers_to_m01_couplers_RLAST <= M_AXI_rlast; + m01_couplers_to_m01_couplers_RREADY <= S_AXI_rready; + m01_couplers_to_m01_couplers_RRESP <= M_AXI_rresp; + m01_couplers_to_m01_couplers_RVALID <= M_AXI_rvalid; + m01_couplers_to_m01_couplers_WDATA <= S_AXI_wdata; + m01_couplers_to_m01_couplers_WLAST <= S_AXI_wlast; + m01_couplers_to_m01_couplers_WREADY <= M_AXI_wready; + m01_couplers_to_m01_couplers_WSTRB <= S_AXI_wstrb; + m01_couplers_to_m01_couplers_WVALID <= S_AXI_wvalid; +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; entity m01_couplers_imp_B10PA is port ( M_ACLK : in STD_LOGIC; @@ -348,107 +860,107 @@ entity m03_couplers_imp_1TMTHD3 is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); - M_AXI_araddr : out STD_LOGIC; - M_AXI_arprot : out STD_LOGIC; + M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; - M_AXI_awaddr : out STD_LOGIC; - M_AXI_awprot : out STD_LOGIC; + M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; - M_AXI_bresp : in STD_LOGIC; + M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; - M_AXI_rdata : in STD_LOGIC; + M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; - M_AXI_rresp : in STD_LOGIC; + M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; - M_AXI_wdata : out STD_LOGIC; + M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; - M_AXI_wstrb : out STD_LOGIC; + M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); - S_AXI_araddr : in STD_LOGIC; - S_AXI_arprot : in STD_LOGIC; + S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; - S_AXI_awaddr : in STD_LOGIC; - S_AXI_awprot : in STD_LOGIC; + S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; - S_AXI_bresp : out STD_LOGIC; + S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; - S_AXI_rdata : out STD_LOGIC; + S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; - S_AXI_rresp : out STD_LOGIC; + S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; - S_AXI_wdata : in STD_LOGIC; + S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; - S_AXI_wstrb : in STD_LOGIC; + S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m03_couplers_imp_1TMTHD3; architecture STRUCTURE of m03_couplers_imp_1TMTHD3 is - signal m03_couplers_to_m03_couplers_ARADDR : STD_LOGIC; - signal m03_couplers_to_m03_couplers_ARPROT : STD_LOGIC; + signal m03_couplers_to_m03_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m03_couplers_to_m03_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m03_couplers_to_m03_couplers_ARREADY : STD_LOGIC; signal m03_couplers_to_m03_couplers_ARVALID : STD_LOGIC; - signal m03_couplers_to_m03_couplers_AWADDR : STD_LOGIC; - signal m03_couplers_to_m03_couplers_AWPROT : STD_LOGIC; + signal m03_couplers_to_m03_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m03_couplers_to_m03_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m03_couplers_to_m03_couplers_AWREADY : STD_LOGIC; signal m03_couplers_to_m03_couplers_AWVALID : STD_LOGIC; signal m03_couplers_to_m03_couplers_BREADY : STD_LOGIC; - signal m03_couplers_to_m03_couplers_BRESP : STD_LOGIC; + signal m03_couplers_to_m03_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m03_couplers_to_m03_couplers_BVALID : STD_LOGIC; - signal m03_couplers_to_m03_couplers_RDATA : STD_LOGIC; + signal m03_couplers_to_m03_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_m03_couplers_RREADY : STD_LOGIC; - signal m03_couplers_to_m03_couplers_RRESP : STD_LOGIC; + signal m03_couplers_to_m03_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m03_couplers_to_m03_couplers_RVALID : STD_LOGIC; - signal m03_couplers_to_m03_couplers_WDATA : STD_LOGIC; + signal m03_couplers_to_m03_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_m03_couplers_WREADY : STD_LOGIC; - signal m03_couplers_to_m03_couplers_WSTRB : STD_LOGIC; + signal m03_couplers_to_m03_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m03_couplers_to_m03_couplers_WVALID : STD_LOGIC; begin - M_AXI_araddr <= m03_couplers_to_m03_couplers_ARADDR; - M_AXI_arprot <= m03_couplers_to_m03_couplers_ARPROT; + M_AXI_araddr(31 downto 0) <= m03_couplers_to_m03_couplers_ARADDR(31 downto 0); + M_AXI_arprot(2 downto 0) <= m03_couplers_to_m03_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= m03_couplers_to_m03_couplers_ARVALID; - M_AXI_awaddr <= m03_couplers_to_m03_couplers_AWADDR; - M_AXI_awprot <= m03_couplers_to_m03_couplers_AWPROT; + M_AXI_awaddr(31 downto 0) <= m03_couplers_to_m03_couplers_AWADDR(31 downto 0); + M_AXI_awprot(2 downto 0) <= m03_couplers_to_m03_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= m03_couplers_to_m03_couplers_AWVALID; M_AXI_bready <= m03_couplers_to_m03_couplers_BREADY; M_AXI_rready <= m03_couplers_to_m03_couplers_RREADY; - M_AXI_wdata <= m03_couplers_to_m03_couplers_WDATA; - M_AXI_wstrb <= m03_couplers_to_m03_couplers_WSTRB; + M_AXI_wdata(31 downto 0) <= m03_couplers_to_m03_couplers_WDATA(31 downto 0); + M_AXI_wstrb(3 downto 0) <= m03_couplers_to_m03_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m03_couplers_to_m03_couplers_WVALID; S_AXI_arready <= m03_couplers_to_m03_couplers_ARREADY; S_AXI_awready <= m03_couplers_to_m03_couplers_AWREADY; - S_AXI_bresp <= m03_couplers_to_m03_couplers_BRESP; + S_AXI_bresp(1 downto 0) <= m03_couplers_to_m03_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m03_couplers_to_m03_couplers_BVALID; - S_AXI_rdata <= m03_couplers_to_m03_couplers_RDATA; - S_AXI_rresp <= m03_couplers_to_m03_couplers_RRESP; + S_AXI_rdata(31 downto 0) <= m03_couplers_to_m03_couplers_RDATA(31 downto 0); + S_AXI_rresp(1 downto 0) <= m03_couplers_to_m03_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m03_couplers_to_m03_couplers_RVALID; S_AXI_wready <= m03_couplers_to_m03_couplers_WREADY; - m03_couplers_to_m03_couplers_ARADDR <= S_AXI_araddr; - m03_couplers_to_m03_couplers_ARPROT <= S_AXI_arprot; + m03_couplers_to_m03_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); + m03_couplers_to_m03_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); m03_couplers_to_m03_couplers_ARREADY <= M_AXI_arready; m03_couplers_to_m03_couplers_ARVALID <= S_AXI_arvalid; - m03_couplers_to_m03_couplers_AWADDR <= S_AXI_awaddr; - m03_couplers_to_m03_couplers_AWPROT <= S_AXI_awprot; + m03_couplers_to_m03_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); + m03_couplers_to_m03_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); m03_couplers_to_m03_couplers_AWREADY <= M_AXI_awready; m03_couplers_to_m03_couplers_AWVALID <= S_AXI_awvalid; m03_couplers_to_m03_couplers_BREADY <= S_AXI_bready; - m03_couplers_to_m03_couplers_BRESP <= M_AXI_bresp; + m03_couplers_to_m03_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m03_couplers_to_m03_couplers_BVALID <= M_AXI_bvalid; - m03_couplers_to_m03_couplers_RDATA <= M_AXI_rdata; + m03_couplers_to_m03_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m03_couplers_to_m03_couplers_RREADY <= S_AXI_rready; - m03_couplers_to_m03_couplers_RRESP <= M_AXI_rresp; + m03_couplers_to_m03_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m03_couplers_to_m03_couplers_RVALID <= M_AXI_rvalid; - m03_couplers_to_m03_couplers_WDATA <= S_AXI_wdata; + m03_couplers_to_m03_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m03_couplers_to_m03_couplers_WREADY <= M_AXI_wready; - m03_couplers_to_m03_couplers_WSTRB <= S_AXI_wstrb; + m03_couplers_to_m03_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m03_couplers_to_m03_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; @@ -1382,21 +1894,39 @@ entity s00_couplers_imp_JGLB8L is M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + M_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); + M_AXI_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + M_AXI_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + M_AXI_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + M_AXI_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_arready : in STD_LOGIC; + M_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + M_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); + M_AXI_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + M_AXI_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + M_AXI_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + M_AXI_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_awready : in STD_LOGIC; + M_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awvalid : out STD_LOGIC; + M_AXI_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + M_AXI_rlast : in STD_LOGIC; M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M_AXI_wlast : out STD_LOGIC; M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; @@ -1444,7 +1974,7 @@ entity s00_couplers_imp_JGLB8L is end s00_couplers_imp_JGLB8L; architecture STRUCTURE of s00_couplers_imp_JGLB8L is - component system_design_auto_pc_2 is + component system_design_auto_pc_3 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; @@ -1486,45 +2016,83 @@ architecture STRUCTURE of s00_couplers_imp_JGLB8L is s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; + m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_wlast : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; + m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; + m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; + m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rlast : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); - end component system_design_auto_pc_2; + end component system_design_auto_pc_3; signal S_ACLK_1 : STD_LOGIC; signal S_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal auto_pc_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal auto_pc_to_s00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal auto_pc_to_s00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal auto_pc_to_s00_couplers_ARID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal auto_pc_to_s00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal auto_pc_to_s00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); signal auto_pc_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal auto_pc_to_s00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_pc_to_s00_couplers_ARREADY : STD_LOGIC; + signal auto_pc_to_s00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal auto_pc_to_s00_couplers_ARVALID : STD_LOGIC; signal auto_pc_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal auto_pc_to_s00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal auto_pc_to_s00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal auto_pc_to_s00_couplers_AWID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal auto_pc_to_s00_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal auto_pc_to_s00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); signal auto_pc_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal auto_pc_to_s00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_pc_to_s00_couplers_AWREADY : STD_LOGIC; + signal auto_pc_to_s00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal auto_pc_to_s00_couplers_AWVALID : STD_LOGIC; + signal auto_pc_to_s00_couplers_BID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal auto_pc_to_s00_couplers_BREADY : STD_LOGIC; signal auto_pc_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal auto_pc_to_s00_couplers_BVALID : STD_LOGIC; signal auto_pc_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal auto_pc_to_s00_couplers_RID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal auto_pc_to_s00_couplers_RLAST : STD_LOGIC; signal auto_pc_to_s00_couplers_RREADY : STD_LOGIC; signal auto_pc_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal auto_pc_to_s00_couplers_RVALID : STD_LOGIC; signal auto_pc_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal auto_pc_to_s00_couplers_WLAST : STD_LOGIC; signal auto_pc_to_s00_couplers_WREADY : STD_LOGIC; signal auto_pc_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_pc_to_s00_couplers_WVALID : STD_LOGIC; @@ -1566,16 +2134,33 @@ architecture STRUCTURE of s00_couplers_imp_JGLB8L is signal s00_couplers_to_auto_pc_WREADY : STD_LOGIC; signal s00_couplers_to_auto_pc_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_pc_WVALID : STD_LOGIC; + signal NLW_auto_pc_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_auto_pc_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); begin M_AXI_araddr(31 downto 0) <= auto_pc_to_s00_couplers_ARADDR(31 downto 0); + M_AXI_arburst(1 downto 0) <= auto_pc_to_s00_couplers_ARBURST(1 downto 0); + M_AXI_arcache(3 downto 0) <= auto_pc_to_s00_couplers_ARCACHE(3 downto 0); + M_AXI_arid(11 downto 0) <= auto_pc_to_s00_couplers_ARID(11 downto 0); + M_AXI_arlen(7 downto 0) <= auto_pc_to_s00_couplers_ARLEN(7 downto 0); + M_AXI_arlock(0) <= auto_pc_to_s00_couplers_ARLOCK(0); M_AXI_arprot(2 downto 0) <= auto_pc_to_s00_couplers_ARPROT(2 downto 0); + M_AXI_arqos(3 downto 0) <= auto_pc_to_s00_couplers_ARQOS(3 downto 0); + M_AXI_arsize(2 downto 0) <= auto_pc_to_s00_couplers_ARSIZE(2 downto 0); M_AXI_arvalid <= auto_pc_to_s00_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= auto_pc_to_s00_couplers_AWADDR(31 downto 0); + M_AXI_awburst(1 downto 0) <= auto_pc_to_s00_couplers_AWBURST(1 downto 0); + M_AXI_awcache(3 downto 0) <= auto_pc_to_s00_couplers_AWCACHE(3 downto 0); + M_AXI_awid(11 downto 0) <= auto_pc_to_s00_couplers_AWID(11 downto 0); + M_AXI_awlen(7 downto 0) <= auto_pc_to_s00_couplers_AWLEN(7 downto 0); + M_AXI_awlock(0) <= auto_pc_to_s00_couplers_AWLOCK(0); M_AXI_awprot(2 downto 0) <= auto_pc_to_s00_couplers_AWPROT(2 downto 0); + M_AXI_awqos(3 downto 0) <= auto_pc_to_s00_couplers_AWQOS(3 downto 0); + M_AXI_awsize(2 downto 0) <= auto_pc_to_s00_couplers_AWSIZE(2 downto 0); M_AXI_awvalid <= auto_pc_to_s00_couplers_AWVALID; M_AXI_bready <= auto_pc_to_s00_couplers_BREADY; M_AXI_rready <= auto_pc_to_s00_couplers_RREADY; M_AXI_wdata(31 downto 0) <= auto_pc_to_s00_couplers_WDATA(31 downto 0); + M_AXI_wlast <= auto_pc_to_s00_couplers_WLAST; M_AXI_wstrb(3 downto 0) <= auto_pc_to_s00_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= auto_pc_to_s00_couplers_WVALID; S_ACLK_1 <= S_ACLK; @@ -1593,9 +2178,12 @@ begin S_AXI_wready <= s00_couplers_to_auto_pc_WREADY; auto_pc_to_s00_couplers_ARREADY <= M_AXI_arready; auto_pc_to_s00_couplers_AWREADY <= M_AXI_awready; + auto_pc_to_s00_couplers_BID(11 downto 0) <= M_AXI_bid(11 downto 0); auto_pc_to_s00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); auto_pc_to_s00_couplers_BVALID <= M_AXI_bvalid; auto_pc_to_s00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); + auto_pc_to_s00_couplers_RID(11 downto 0) <= M_AXI_rid(11 downto 0); + auto_pc_to_s00_couplers_RLAST <= M_AXI_rlast; auto_pc_to_s00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); auto_pc_to_s00_couplers_RVALID <= M_AXI_rvalid; auto_pc_to_s00_couplers_WREADY <= M_AXI_wready; @@ -1626,26 +2214,46 @@ begin s00_couplers_to_auto_pc_WLAST <= S_AXI_wlast; s00_couplers_to_auto_pc_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); s00_couplers_to_auto_pc_WVALID <= S_AXI_wvalid; -auto_pc: component system_design_auto_pc_2 +auto_pc: component system_design_auto_pc_3 port map ( aclk => S_ACLK_1, aresetn => S_ARESETN_1(0), m_axi_araddr(31 downto 0) => auto_pc_to_s00_couplers_ARADDR(31 downto 0), + m_axi_arburst(1 downto 0) => auto_pc_to_s00_couplers_ARBURST(1 downto 0), + m_axi_arcache(3 downto 0) => auto_pc_to_s00_couplers_ARCACHE(3 downto 0), + m_axi_arid(11 downto 0) => auto_pc_to_s00_couplers_ARID(11 downto 0), + m_axi_arlen(7 downto 0) => auto_pc_to_s00_couplers_ARLEN(7 downto 0), + m_axi_arlock(0) => auto_pc_to_s00_couplers_ARLOCK(0), m_axi_arprot(2 downto 0) => auto_pc_to_s00_couplers_ARPROT(2 downto 0), + m_axi_arqos(3 downto 0) => auto_pc_to_s00_couplers_ARQOS(3 downto 0), m_axi_arready => auto_pc_to_s00_couplers_ARREADY, + m_axi_arregion(3 downto 0) => NLW_auto_pc_m_axi_arregion_UNCONNECTED(3 downto 0), + m_axi_arsize(2 downto 0) => auto_pc_to_s00_couplers_ARSIZE(2 downto 0), m_axi_arvalid => auto_pc_to_s00_couplers_ARVALID, m_axi_awaddr(31 downto 0) => auto_pc_to_s00_couplers_AWADDR(31 downto 0), + m_axi_awburst(1 downto 0) => auto_pc_to_s00_couplers_AWBURST(1 downto 0), + m_axi_awcache(3 downto 0) => auto_pc_to_s00_couplers_AWCACHE(3 downto 0), + m_axi_awid(11 downto 0) => auto_pc_to_s00_couplers_AWID(11 downto 0), + m_axi_awlen(7 downto 0) => auto_pc_to_s00_couplers_AWLEN(7 downto 0), + m_axi_awlock(0) => auto_pc_to_s00_couplers_AWLOCK(0), m_axi_awprot(2 downto 0) => auto_pc_to_s00_couplers_AWPROT(2 downto 0), + m_axi_awqos(3 downto 0) => auto_pc_to_s00_couplers_AWQOS(3 downto 0), m_axi_awready => auto_pc_to_s00_couplers_AWREADY, + m_axi_awregion(3 downto 0) => NLW_auto_pc_m_axi_awregion_UNCONNECTED(3 downto 0), + m_axi_awsize(2 downto 0) => auto_pc_to_s00_couplers_AWSIZE(2 downto 0), m_axi_awvalid => auto_pc_to_s00_couplers_AWVALID, + m_axi_bid(11 downto 0) => auto_pc_to_s00_couplers_BID(11 downto 0), m_axi_bready => auto_pc_to_s00_couplers_BREADY, m_axi_bresp(1 downto 0) => auto_pc_to_s00_couplers_BRESP(1 downto 0), m_axi_bvalid => auto_pc_to_s00_couplers_BVALID, m_axi_rdata(31 downto 0) => auto_pc_to_s00_couplers_RDATA(31 downto 0), + m_axi_rid(11 downto 0) => auto_pc_to_s00_couplers_RID(11 downto 0), + m_axi_rlast => auto_pc_to_s00_couplers_RLAST, m_axi_rready => auto_pc_to_s00_couplers_RREADY, m_axi_rresp(1 downto 0) => auto_pc_to_s00_couplers_RRESP(1 downto 0), m_axi_rvalid => auto_pc_to_s00_couplers_RVALID, m_axi_wdata(31 downto 0) => auto_pc_to_s00_couplers_WDATA(31 downto 0), + m_axi_wlast => auto_pc_to_s00_couplers_WLAST, m_axi_wready => auto_pc_to_s00_couplers_WREADY, m_axi_wstrb(3 downto 0) => auto_pc_to_s00_couplers_WSTRB(3 downto 0), m_axi_wvalid => auto_pc_to_s00_couplers_WVALID, @@ -1887,6 +2495,43 @@ entity system_design_axi_interconnect_1_0 is M00_AXI_wready : in STD_LOGIC; M00_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M00_AXI_wvalid : out STD_LOGIC; + M01_ACLK : in STD_LOGIC; + M01_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); + M01_AXI_araddr : out STD_LOGIC; + M01_AXI_arburst : out STD_LOGIC; + M01_AXI_arcache : out STD_LOGIC; + M01_AXI_arlen : out STD_LOGIC; + M01_AXI_arlock : out STD_LOGIC; + M01_AXI_arprot : out STD_LOGIC; + M01_AXI_arqos : out STD_LOGIC; + M01_AXI_arready : in STD_LOGIC; + M01_AXI_arregion : out STD_LOGIC; + M01_AXI_arsize : out STD_LOGIC; + M01_AXI_arvalid : out STD_LOGIC; + M01_AXI_awaddr : out STD_LOGIC; + M01_AXI_awburst : out STD_LOGIC; + M01_AXI_awcache : out STD_LOGIC; + M01_AXI_awlen : out STD_LOGIC; + M01_AXI_awlock : out STD_LOGIC; + M01_AXI_awprot : out STD_LOGIC; + M01_AXI_awqos : out STD_LOGIC; + M01_AXI_awready : in STD_LOGIC; + M01_AXI_awregion : out STD_LOGIC; + M01_AXI_awsize : out STD_LOGIC; + M01_AXI_awvalid : out STD_LOGIC; + M01_AXI_bready : out STD_LOGIC; + M01_AXI_bresp : in STD_LOGIC; + M01_AXI_bvalid : in STD_LOGIC; + M01_AXI_rdata : in STD_LOGIC; + M01_AXI_rlast : in STD_LOGIC; + M01_AXI_rready : out STD_LOGIC; + M01_AXI_rresp : in STD_LOGIC; + M01_AXI_rvalid : in STD_LOGIC; + M01_AXI_wdata : out STD_LOGIC; + M01_AXI_wlast : out STD_LOGIC; + M01_AXI_wready : in STD_LOGIC; + M01_AXI_wstrb : out STD_LOGIC; + M01_AXI_wvalid : out STD_LOGIC; S00_ACLK : in STD_LOGIC; S00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); @@ -1931,6 +2576,92 @@ entity system_design_axi_interconnect_1_0 is end system_design_axi_interconnect_1_0; architecture STRUCTURE of system_design_axi_interconnect_1_0 is + component system_design_xbar_1 is + port ( + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awid : out STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_awaddr : out STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_awlen : out STD_LOGIC_VECTOR ( 15 downto 0 ); + m_axi_awsize : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_awburst : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awlock : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awcache : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awprot : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_awregion : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awqos : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_wlast : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bid : in STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_bresp : in STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bready : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arid : out STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_araddr : out STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_arlen : out STD_LOGIC_VECTOR ( 15 downto 0 ); + m_axi_arsize : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_arburst : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arlock : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arcache : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arprot : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_arregion : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arqos : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rid : in STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_rlast : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rready : out STD_LOGIC_VECTOR ( 1 downto 0 ) + ); + end component system_design_xbar_1; + signal M00_ACLK_1 : STD_LOGIC; + signal M00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); + signal M01_ACLK_1 : STD_LOGIC; + signal M01_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal S00_ACLK_1 : STD_LOGIC; signal S00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal axi_interconnect_1_ACLK_net : STD_LOGIC; @@ -1973,37 +2704,215 @@ architecture STRUCTURE of system_design_axi_interconnect_1_0 is signal axi_interconnect_1_to_s00_couplers_WREADY : STD_LOGIC; signal axi_interconnect_1_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_1_to_s00_couplers_WVALID : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_ARREADY : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_ARVALID : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_AWREADY : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_AWVALID : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_BREADY : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_BVALID : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_RREADY : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_RVALID : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_WREADY : STD_LOGIC; - signal s00_couplers_to_axi_interconnect_1_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal s00_couplers_to_axi_interconnect_1_WVALID : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_ARREADY : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_ARVALID : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_AWREADY : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_AWVALID : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_BREADY : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_BVALID : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_RREADY : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_RVALID : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_WREADY : STD_LOGIC; + signal m00_couplers_to_axi_interconnect_1_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal m00_couplers_to_axi_interconnect_1_WVALID : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARADDR : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARBURST : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARCACHE : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARLEN : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARLOCK : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARPROT : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARQOS : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARREADY : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARREGION : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARSIZE : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_ARVALID : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWADDR : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWBURST : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWCACHE : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWLEN : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWLOCK : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWPROT : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWQOS : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWREADY : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWREGION : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWSIZE : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_AWVALID : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_BREADY : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_BRESP : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_BVALID : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_RDATA : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_RLAST : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_RREADY : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_RRESP : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_RVALID : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_WDATA : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_WLAST : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_WREADY : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_WSTRB : STD_LOGIC; + signal m01_couplers_to_axi_interconnect_1_WVALID : STD_LOGIC; + signal s00_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal s00_couplers_to_xbar_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal s00_couplers_to_xbar_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal s00_couplers_to_xbar_ARID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal s00_couplers_to_xbar_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal s00_couplers_to_xbar_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); + signal s00_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal s00_couplers_to_xbar_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal s00_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 ); + signal s00_couplers_to_xbar_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal s00_couplers_to_xbar_ARVALID : STD_LOGIC; + signal s00_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal s00_couplers_to_xbar_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal s00_couplers_to_xbar_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal s00_couplers_to_xbar_AWID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal s00_couplers_to_xbar_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal s00_couplers_to_xbar_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); + signal s00_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal s00_couplers_to_xbar_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal s00_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 ); + signal s00_couplers_to_xbar_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal s00_couplers_to_xbar_AWVALID : STD_LOGIC; + signal s00_couplers_to_xbar_BID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal s00_couplers_to_xbar_BREADY : STD_LOGIC; + signal s00_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal s00_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 0 to 0 ); + signal s00_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal s00_couplers_to_xbar_RID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal s00_couplers_to_xbar_RLAST : STD_LOGIC_VECTOR ( 0 to 0 ); + signal s00_couplers_to_xbar_RREADY : STD_LOGIC; + signal s00_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal s00_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 0 to 0 ); + signal s00_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal s00_couplers_to_xbar_WLAST : STD_LOGIC; + signal s00_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 0 to 0 ); + signal s00_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal s00_couplers_to_xbar_WVALID : STD_LOGIC; + signal xbar_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal xbar_to_m00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal xbar_to_m00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal xbar_to_m00_couplers_ARID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal xbar_to_m00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal xbar_to_m00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); + signal xbar_to_m00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal xbar_to_m00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal xbar_to_m00_couplers_ARREADY : STD_LOGIC; + signal xbar_to_m00_couplers_ARREGION : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal xbar_to_m00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal xbar_to_m00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 ); + signal xbar_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal xbar_to_m00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal xbar_to_m00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal xbar_to_m00_couplers_AWID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal xbar_to_m00_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal xbar_to_m00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 ); + signal xbar_to_m00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal xbar_to_m00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal xbar_to_m00_couplers_AWREADY : STD_LOGIC; + signal xbar_to_m00_couplers_AWREGION : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal xbar_to_m00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal xbar_to_m00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 ); + signal xbar_to_m00_couplers_BID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal xbar_to_m00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 ); + signal xbar_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal xbar_to_m00_couplers_BVALID : STD_LOGIC; + signal xbar_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal xbar_to_m00_couplers_RID : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal xbar_to_m00_couplers_RLAST : STD_LOGIC; + signal xbar_to_m00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 ); + signal xbar_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal xbar_to_m00_couplers_RVALID : STD_LOGIC; + signal xbar_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal xbar_to_m00_couplers_WLAST : STD_LOGIC_VECTOR ( 0 to 0 ); + signal xbar_to_m00_couplers_WREADY : STD_LOGIC; + signal xbar_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal xbar_to_m00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 ); + signal xbar_to_m01_couplers_ARADDR : STD_LOGIC_VECTOR ( 63 downto 32 ); + signal xbar_to_m01_couplers_ARBURST : STD_LOGIC_VECTOR ( 3 downto 2 ); + signal xbar_to_m01_couplers_ARCACHE : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal xbar_to_m01_couplers_ARLEN : STD_LOGIC_VECTOR ( 15 downto 8 ); + signal xbar_to_m01_couplers_ARLOCK : STD_LOGIC_VECTOR ( 1 to 1 ); + signal xbar_to_m01_couplers_ARPROT : STD_LOGIC_VECTOR ( 5 downto 3 ); + signal xbar_to_m01_couplers_ARQOS : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal xbar_to_m01_couplers_ARREADY : STD_LOGIC; + signal xbar_to_m01_couplers_ARREGION : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal xbar_to_m01_couplers_ARSIZE : STD_LOGIC_VECTOR ( 5 downto 3 ); + signal xbar_to_m01_couplers_ARVALID : STD_LOGIC_VECTOR ( 1 to 1 ); + signal xbar_to_m01_couplers_AWADDR : STD_LOGIC_VECTOR ( 63 downto 32 ); + signal xbar_to_m01_couplers_AWBURST : STD_LOGIC_VECTOR ( 3 downto 2 ); + signal xbar_to_m01_couplers_AWCACHE : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal xbar_to_m01_couplers_AWLEN : STD_LOGIC_VECTOR ( 15 downto 8 ); + signal xbar_to_m01_couplers_AWLOCK : STD_LOGIC_VECTOR ( 1 to 1 ); + signal xbar_to_m01_couplers_AWPROT : STD_LOGIC_VECTOR ( 5 downto 3 ); + signal xbar_to_m01_couplers_AWQOS : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal xbar_to_m01_couplers_AWREADY : STD_LOGIC; + signal xbar_to_m01_couplers_AWREGION : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal xbar_to_m01_couplers_AWSIZE : STD_LOGIC_VECTOR ( 5 downto 3 ); + signal xbar_to_m01_couplers_AWVALID : STD_LOGIC_VECTOR ( 1 to 1 ); + signal xbar_to_m01_couplers_BREADY : STD_LOGIC_VECTOR ( 1 to 1 ); + signal xbar_to_m01_couplers_BRESP : STD_LOGIC; + signal xbar_to_m01_couplers_BVALID : STD_LOGIC; + signal xbar_to_m01_couplers_RDATA : STD_LOGIC; + signal xbar_to_m01_couplers_RLAST : STD_LOGIC; + signal xbar_to_m01_couplers_RREADY : STD_LOGIC_VECTOR ( 1 to 1 ); + signal xbar_to_m01_couplers_RRESP : STD_LOGIC; + signal xbar_to_m01_couplers_RVALID : STD_LOGIC; + signal xbar_to_m01_couplers_WDATA : STD_LOGIC_VECTOR ( 63 downto 32 ); + signal xbar_to_m01_couplers_WLAST : STD_LOGIC_VECTOR ( 1 to 1 ); + signal xbar_to_m01_couplers_WREADY : STD_LOGIC; + signal xbar_to_m01_couplers_WSTRB : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal xbar_to_m01_couplers_WVALID : STD_LOGIC_VECTOR ( 1 to 1 ); + signal NLW_xbar_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 23 downto 12 ); + signal NLW_xbar_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 23 downto 12 ); begin - M00_AXI_araddr(31 downto 0) <= s00_couplers_to_axi_interconnect_1_ARADDR(31 downto 0); - M00_AXI_arprot(2 downto 0) <= s00_couplers_to_axi_interconnect_1_ARPROT(2 downto 0); - M00_AXI_arvalid <= s00_couplers_to_axi_interconnect_1_ARVALID; - M00_AXI_awaddr(31 downto 0) <= s00_couplers_to_axi_interconnect_1_AWADDR(31 downto 0); - M00_AXI_awprot(2 downto 0) <= s00_couplers_to_axi_interconnect_1_AWPROT(2 downto 0); - M00_AXI_awvalid <= s00_couplers_to_axi_interconnect_1_AWVALID; - M00_AXI_bready <= s00_couplers_to_axi_interconnect_1_BREADY; - M00_AXI_rready <= s00_couplers_to_axi_interconnect_1_RREADY; - M00_AXI_wdata(31 downto 0) <= s00_couplers_to_axi_interconnect_1_WDATA(31 downto 0); - M00_AXI_wstrb(3 downto 0) <= s00_couplers_to_axi_interconnect_1_WSTRB(3 downto 0); - M00_AXI_wvalid <= s00_couplers_to_axi_interconnect_1_WVALID; + M00_ACLK_1 <= M00_ACLK; + M00_ARESETN_1(0) <= M00_ARESETN(0); + M00_AXI_araddr(31 downto 0) <= m00_couplers_to_axi_interconnect_1_ARADDR(31 downto 0); + M00_AXI_arprot(2 downto 0) <= m00_couplers_to_axi_interconnect_1_ARPROT(2 downto 0); + M00_AXI_arvalid <= m00_couplers_to_axi_interconnect_1_ARVALID; + M00_AXI_awaddr(31 downto 0) <= m00_couplers_to_axi_interconnect_1_AWADDR(31 downto 0); + M00_AXI_awprot(2 downto 0) <= m00_couplers_to_axi_interconnect_1_AWPROT(2 downto 0); + M00_AXI_awvalid <= m00_couplers_to_axi_interconnect_1_AWVALID; + M00_AXI_bready <= m00_couplers_to_axi_interconnect_1_BREADY; + M00_AXI_rready <= m00_couplers_to_axi_interconnect_1_RREADY; + M00_AXI_wdata(31 downto 0) <= m00_couplers_to_axi_interconnect_1_WDATA(31 downto 0); + M00_AXI_wstrb(3 downto 0) <= m00_couplers_to_axi_interconnect_1_WSTRB(3 downto 0); + M00_AXI_wvalid <= m00_couplers_to_axi_interconnect_1_WVALID; + M01_ACLK_1 <= M01_ACLK; + M01_ARESETN_1(0) <= M01_ARESETN(0); + M01_AXI_araddr <= m01_couplers_to_axi_interconnect_1_ARADDR; + M01_AXI_arburst <= m01_couplers_to_axi_interconnect_1_ARBURST; + M01_AXI_arcache <= m01_couplers_to_axi_interconnect_1_ARCACHE; + M01_AXI_arlen <= m01_couplers_to_axi_interconnect_1_ARLEN; + M01_AXI_arlock <= m01_couplers_to_axi_interconnect_1_ARLOCK; + M01_AXI_arprot <= m01_couplers_to_axi_interconnect_1_ARPROT; + M01_AXI_arqos <= m01_couplers_to_axi_interconnect_1_ARQOS; + M01_AXI_arregion <= m01_couplers_to_axi_interconnect_1_ARREGION; + M01_AXI_arsize <= m01_couplers_to_axi_interconnect_1_ARSIZE; + M01_AXI_arvalid <= m01_couplers_to_axi_interconnect_1_ARVALID; + M01_AXI_awaddr <= m01_couplers_to_axi_interconnect_1_AWADDR; + M01_AXI_awburst <= m01_couplers_to_axi_interconnect_1_AWBURST; + M01_AXI_awcache <= m01_couplers_to_axi_interconnect_1_AWCACHE; + M01_AXI_awlen <= m01_couplers_to_axi_interconnect_1_AWLEN; + M01_AXI_awlock <= m01_couplers_to_axi_interconnect_1_AWLOCK; + M01_AXI_awprot <= m01_couplers_to_axi_interconnect_1_AWPROT; + M01_AXI_awqos <= m01_couplers_to_axi_interconnect_1_AWQOS; + M01_AXI_awregion <= m01_couplers_to_axi_interconnect_1_AWREGION; + M01_AXI_awsize <= m01_couplers_to_axi_interconnect_1_AWSIZE; + M01_AXI_awvalid <= m01_couplers_to_axi_interconnect_1_AWVALID; + M01_AXI_bready <= m01_couplers_to_axi_interconnect_1_BREADY; + M01_AXI_rready <= m01_couplers_to_axi_interconnect_1_RREADY; + M01_AXI_wdata <= m01_couplers_to_axi_interconnect_1_WDATA; + M01_AXI_wlast <= m01_couplers_to_axi_interconnect_1_WLAST; + M01_AXI_wstrb <= m01_couplers_to_axi_interconnect_1_WSTRB; + M01_AXI_wvalid <= m01_couplers_to_axi_interconnect_1_WVALID; S00_ACLK_1 <= S00_ACLK; S00_ARESETN_1(0) <= S00_ARESETN(0); S00_AXI_arready <= axi_interconnect_1_to_s00_couplers_ARREADY; @@ -2017,8 +2926,8 @@ begin S00_AXI_rresp(1 downto 0) <= axi_interconnect_1_to_s00_couplers_RRESP(1 downto 0); S00_AXI_rvalid <= axi_interconnect_1_to_s00_couplers_RVALID; S00_AXI_wready <= axi_interconnect_1_to_s00_couplers_WREADY; - axi_interconnect_1_ACLK_net <= M00_ACLK; - axi_interconnect_1_ARESETN_net(0) <= M00_ARESETN(0); + axi_interconnect_1_ACLK_net <= ACLK; + axi_interconnect_1_ARESETN_net(0) <= ARESETN(0); axi_interconnect_1_to_s00_couplers_ARADDR(31 downto 0) <= S00_AXI_araddr(31 downto 0); axi_interconnect_1_to_s00_couplers_ARBURST(1 downto 0) <= S00_AXI_arburst(1 downto 0); axi_interconnect_1_to_s00_couplers_ARCACHE(3 downto 0) <= S00_AXI_arcache(3 downto 0); @@ -2046,37 +2955,206 @@ begin axi_interconnect_1_to_s00_couplers_WLAST <= S00_AXI_wlast; axi_interconnect_1_to_s00_couplers_WSTRB(3 downto 0) <= S00_AXI_wstrb(3 downto 0); axi_interconnect_1_to_s00_couplers_WVALID <= S00_AXI_wvalid; - s00_couplers_to_axi_interconnect_1_ARREADY <= M00_AXI_arready; - s00_couplers_to_axi_interconnect_1_AWREADY <= M00_AXI_awready; - s00_couplers_to_axi_interconnect_1_BRESP(1 downto 0) <= M00_AXI_bresp(1 downto 0); - s00_couplers_to_axi_interconnect_1_BVALID <= M00_AXI_bvalid; - s00_couplers_to_axi_interconnect_1_RDATA(31 downto 0) <= M00_AXI_rdata(31 downto 0); - s00_couplers_to_axi_interconnect_1_RRESP(1 downto 0) <= M00_AXI_rresp(1 downto 0); - s00_couplers_to_axi_interconnect_1_RVALID <= M00_AXI_rvalid; - s00_couplers_to_axi_interconnect_1_WREADY <= M00_AXI_wready; + m00_couplers_to_axi_interconnect_1_ARREADY <= M00_AXI_arready; + m00_couplers_to_axi_interconnect_1_AWREADY <= M00_AXI_awready; + m00_couplers_to_axi_interconnect_1_BRESP(1 downto 0) <= M00_AXI_bresp(1 downto 0); + m00_couplers_to_axi_interconnect_1_BVALID <= M00_AXI_bvalid; + m00_couplers_to_axi_interconnect_1_RDATA(31 downto 0) <= M00_AXI_rdata(31 downto 0); + m00_couplers_to_axi_interconnect_1_RRESP(1 downto 0) <= M00_AXI_rresp(1 downto 0); + m00_couplers_to_axi_interconnect_1_RVALID <= M00_AXI_rvalid; + m00_couplers_to_axi_interconnect_1_WREADY <= M00_AXI_wready; + m01_couplers_to_axi_interconnect_1_ARREADY <= M01_AXI_arready; + m01_couplers_to_axi_interconnect_1_AWREADY <= M01_AXI_awready; + m01_couplers_to_axi_interconnect_1_BRESP <= M01_AXI_bresp; + m01_couplers_to_axi_interconnect_1_BVALID <= M01_AXI_bvalid; + m01_couplers_to_axi_interconnect_1_RDATA <= M01_AXI_rdata; + m01_couplers_to_axi_interconnect_1_RLAST <= M01_AXI_rlast; + m01_couplers_to_axi_interconnect_1_RRESP <= M01_AXI_rresp; + m01_couplers_to_axi_interconnect_1_RVALID <= M01_AXI_rvalid; + m01_couplers_to_axi_interconnect_1_WREADY <= M01_AXI_wready; +m00_couplers: entity work.m00_couplers_imp_OXX3DM + port map ( + M_ACLK => M00_ACLK_1, + M_ARESETN(0) => M00_ARESETN_1(0), + M_AXI_araddr(31 downto 0) => m00_couplers_to_axi_interconnect_1_ARADDR(31 downto 0), + M_AXI_arprot(2 downto 0) => m00_couplers_to_axi_interconnect_1_ARPROT(2 downto 0), + M_AXI_arready => m00_couplers_to_axi_interconnect_1_ARREADY, + M_AXI_arvalid => m00_couplers_to_axi_interconnect_1_ARVALID, + M_AXI_awaddr(31 downto 0) => m00_couplers_to_axi_interconnect_1_AWADDR(31 downto 0), + M_AXI_awprot(2 downto 0) => m00_couplers_to_axi_interconnect_1_AWPROT(2 downto 0), + M_AXI_awready => m00_couplers_to_axi_interconnect_1_AWREADY, + M_AXI_awvalid => m00_couplers_to_axi_interconnect_1_AWVALID, + M_AXI_bready => m00_couplers_to_axi_interconnect_1_BREADY, + M_AXI_bresp(1 downto 0) => m00_couplers_to_axi_interconnect_1_BRESP(1 downto 0), + M_AXI_bvalid => m00_couplers_to_axi_interconnect_1_BVALID, + M_AXI_rdata(31 downto 0) => m00_couplers_to_axi_interconnect_1_RDATA(31 downto 0), + M_AXI_rready => m00_couplers_to_axi_interconnect_1_RREADY, + M_AXI_rresp(1 downto 0) => m00_couplers_to_axi_interconnect_1_RRESP(1 downto 0), + M_AXI_rvalid => m00_couplers_to_axi_interconnect_1_RVALID, + M_AXI_wdata(31 downto 0) => m00_couplers_to_axi_interconnect_1_WDATA(31 downto 0), + M_AXI_wready => m00_couplers_to_axi_interconnect_1_WREADY, + M_AXI_wstrb(3 downto 0) => m00_couplers_to_axi_interconnect_1_WSTRB(3 downto 0), + M_AXI_wvalid => m00_couplers_to_axi_interconnect_1_WVALID, + S_ACLK => axi_interconnect_1_ACLK_net, + S_ARESETN(0) => axi_interconnect_1_ARESETN_net(0), + S_AXI_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0), + S_AXI_arburst(1 downto 0) => xbar_to_m00_couplers_ARBURST(1 downto 0), + S_AXI_arcache(3 downto 0) => xbar_to_m00_couplers_ARCACHE(3 downto 0), + S_AXI_arid(11 downto 0) => xbar_to_m00_couplers_ARID(11 downto 0), + S_AXI_arlen(7 downto 0) => xbar_to_m00_couplers_ARLEN(7 downto 0), + S_AXI_arlock(0) => xbar_to_m00_couplers_ARLOCK(0), + S_AXI_arprot(2 downto 0) => xbar_to_m00_couplers_ARPROT(2 downto 0), + S_AXI_arqos(3 downto 0) => xbar_to_m00_couplers_ARQOS(3 downto 0), + S_AXI_arready => xbar_to_m00_couplers_ARREADY, + S_AXI_arregion(3 downto 0) => xbar_to_m00_couplers_ARREGION(3 downto 0), + S_AXI_arsize(2 downto 0) => xbar_to_m00_couplers_ARSIZE(2 downto 0), + S_AXI_arvalid => xbar_to_m00_couplers_ARVALID(0), + S_AXI_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0), + S_AXI_awburst(1 downto 0) => xbar_to_m00_couplers_AWBURST(1 downto 0), + S_AXI_awcache(3 downto 0) => xbar_to_m00_couplers_AWCACHE(3 downto 0), + S_AXI_awid(11 downto 0) => xbar_to_m00_couplers_AWID(11 downto 0), + S_AXI_awlen(7 downto 0) => xbar_to_m00_couplers_AWLEN(7 downto 0), + S_AXI_awlock(0) => xbar_to_m00_couplers_AWLOCK(0), + S_AXI_awprot(2 downto 0) => xbar_to_m00_couplers_AWPROT(2 downto 0), + S_AXI_awqos(3 downto 0) => xbar_to_m00_couplers_AWQOS(3 downto 0), + S_AXI_awready => xbar_to_m00_couplers_AWREADY, + S_AXI_awregion(3 downto 0) => xbar_to_m00_couplers_AWREGION(3 downto 0), + S_AXI_awsize(2 downto 0) => xbar_to_m00_couplers_AWSIZE(2 downto 0), + S_AXI_awvalid => xbar_to_m00_couplers_AWVALID(0), + S_AXI_bid(11 downto 0) => xbar_to_m00_couplers_BID(11 downto 0), + S_AXI_bready => xbar_to_m00_couplers_BREADY(0), + S_AXI_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0), + S_AXI_bvalid => xbar_to_m00_couplers_BVALID, + S_AXI_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0), + S_AXI_rid(11 downto 0) => xbar_to_m00_couplers_RID(11 downto 0), + S_AXI_rlast => xbar_to_m00_couplers_RLAST, + S_AXI_rready => xbar_to_m00_couplers_RREADY(0), + S_AXI_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0), + S_AXI_rvalid => xbar_to_m00_couplers_RVALID, + S_AXI_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0), + S_AXI_wlast => xbar_to_m00_couplers_WLAST(0), + S_AXI_wready => xbar_to_m00_couplers_WREADY, + S_AXI_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0), + S_AXI_wvalid => xbar_to_m00_couplers_WVALID(0) + ); +m01_couplers: entity work.m01_couplers_imp_1HZPTVY + port map ( + M_ACLK => M01_ACLK_1, + M_ARESETN(0) => M01_ARESETN_1(0), + M_AXI_araddr => m01_couplers_to_axi_interconnect_1_ARADDR, + M_AXI_arburst => m01_couplers_to_axi_interconnect_1_ARBURST, + M_AXI_arcache => m01_couplers_to_axi_interconnect_1_ARCACHE, + M_AXI_arlen => m01_couplers_to_axi_interconnect_1_ARLEN, + M_AXI_arlock => m01_couplers_to_axi_interconnect_1_ARLOCK, + M_AXI_arprot => m01_couplers_to_axi_interconnect_1_ARPROT, + M_AXI_arqos => m01_couplers_to_axi_interconnect_1_ARQOS, + M_AXI_arready => m01_couplers_to_axi_interconnect_1_ARREADY, + M_AXI_arregion => m01_couplers_to_axi_interconnect_1_ARREGION, + M_AXI_arsize => m01_couplers_to_axi_interconnect_1_ARSIZE, + M_AXI_arvalid => m01_couplers_to_axi_interconnect_1_ARVALID, + M_AXI_awaddr => m01_couplers_to_axi_interconnect_1_AWADDR, + M_AXI_awburst => m01_couplers_to_axi_interconnect_1_AWBURST, + M_AXI_awcache => m01_couplers_to_axi_interconnect_1_AWCACHE, + M_AXI_awlen => m01_couplers_to_axi_interconnect_1_AWLEN, + M_AXI_awlock => m01_couplers_to_axi_interconnect_1_AWLOCK, + M_AXI_awprot => m01_couplers_to_axi_interconnect_1_AWPROT, + M_AXI_awqos => m01_couplers_to_axi_interconnect_1_AWQOS, + M_AXI_awready => m01_couplers_to_axi_interconnect_1_AWREADY, + M_AXI_awregion => m01_couplers_to_axi_interconnect_1_AWREGION, + M_AXI_awsize => m01_couplers_to_axi_interconnect_1_AWSIZE, + M_AXI_awvalid => m01_couplers_to_axi_interconnect_1_AWVALID, + M_AXI_bready => m01_couplers_to_axi_interconnect_1_BREADY, + M_AXI_bresp => m01_couplers_to_axi_interconnect_1_BRESP, + M_AXI_bvalid => m01_couplers_to_axi_interconnect_1_BVALID, + M_AXI_rdata => m01_couplers_to_axi_interconnect_1_RDATA, + M_AXI_rlast => m01_couplers_to_axi_interconnect_1_RLAST, + M_AXI_rready => m01_couplers_to_axi_interconnect_1_RREADY, + M_AXI_rresp => m01_couplers_to_axi_interconnect_1_RRESP, + M_AXI_rvalid => m01_couplers_to_axi_interconnect_1_RVALID, + M_AXI_wdata => m01_couplers_to_axi_interconnect_1_WDATA, + M_AXI_wlast => m01_couplers_to_axi_interconnect_1_WLAST, + M_AXI_wready => m01_couplers_to_axi_interconnect_1_WREADY, + M_AXI_wstrb => m01_couplers_to_axi_interconnect_1_WSTRB, + M_AXI_wvalid => m01_couplers_to_axi_interconnect_1_WVALID, + S_ACLK => axi_interconnect_1_ACLK_net, + S_ARESETN(0) => axi_interconnect_1_ARESETN_net(0), + S_AXI_araddr => xbar_to_m01_couplers_ARADDR(32), + S_AXI_arburst => xbar_to_m01_couplers_ARBURST(2), + S_AXI_arcache => xbar_to_m01_couplers_ARCACHE(4), + S_AXI_arlen => xbar_to_m01_couplers_ARLEN(8), + S_AXI_arlock => xbar_to_m01_couplers_ARLOCK(1), + S_AXI_arprot => xbar_to_m01_couplers_ARPROT(3), + S_AXI_arqos => xbar_to_m01_couplers_ARQOS(4), + S_AXI_arready => xbar_to_m01_couplers_ARREADY, + S_AXI_arregion => xbar_to_m01_couplers_ARREGION(4), + S_AXI_arsize => xbar_to_m01_couplers_ARSIZE(3), + S_AXI_arvalid => xbar_to_m01_couplers_ARVALID(1), + S_AXI_awaddr => xbar_to_m01_couplers_AWADDR(32), + S_AXI_awburst => xbar_to_m01_couplers_AWBURST(2), + S_AXI_awcache => xbar_to_m01_couplers_AWCACHE(4), + S_AXI_awlen => xbar_to_m01_couplers_AWLEN(8), + S_AXI_awlock => xbar_to_m01_couplers_AWLOCK(1), + S_AXI_awprot => xbar_to_m01_couplers_AWPROT(3), + S_AXI_awqos => xbar_to_m01_couplers_AWQOS(4), + S_AXI_awready => xbar_to_m01_couplers_AWREADY, + S_AXI_awregion => xbar_to_m01_couplers_AWREGION(4), + S_AXI_awsize => xbar_to_m01_couplers_AWSIZE(3), + S_AXI_awvalid => xbar_to_m01_couplers_AWVALID(1), + S_AXI_bready => xbar_to_m01_couplers_BREADY(1), + S_AXI_bresp => xbar_to_m01_couplers_BRESP, + S_AXI_bvalid => xbar_to_m01_couplers_BVALID, + S_AXI_rdata => xbar_to_m01_couplers_RDATA, + S_AXI_rlast => xbar_to_m01_couplers_RLAST, + S_AXI_rready => xbar_to_m01_couplers_RREADY(1), + S_AXI_rresp => xbar_to_m01_couplers_RRESP, + S_AXI_rvalid => xbar_to_m01_couplers_RVALID, + S_AXI_wdata => xbar_to_m01_couplers_WDATA(32), + S_AXI_wlast => xbar_to_m01_couplers_WLAST(1), + S_AXI_wready => xbar_to_m01_couplers_WREADY, + S_AXI_wstrb => xbar_to_m01_couplers_WSTRB(4), + S_AXI_wvalid => xbar_to_m01_couplers_WVALID(1) + ); s00_couplers: entity work.s00_couplers_imp_JGLB8L port map ( M_ACLK => axi_interconnect_1_ACLK_net, M_ARESETN(0) => axi_interconnect_1_ARESETN_net(0), - M_AXI_araddr(31 downto 0) => s00_couplers_to_axi_interconnect_1_ARADDR(31 downto 0), - M_AXI_arprot(2 downto 0) => s00_couplers_to_axi_interconnect_1_ARPROT(2 downto 0), - M_AXI_arready => s00_couplers_to_axi_interconnect_1_ARREADY, - M_AXI_arvalid => s00_couplers_to_axi_interconnect_1_ARVALID, - M_AXI_awaddr(31 downto 0) => s00_couplers_to_axi_interconnect_1_AWADDR(31 downto 0), - M_AXI_awprot(2 downto 0) => s00_couplers_to_axi_interconnect_1_AWPROT(2 downto 0), - M_AXI_awready => s00_couplers_to_axi_interconnect_1_AWREADY, - M_AXI_awvalid => s00_couplers_to_axi_interconnect_1_AWVALID, - M_AXI_bready => s00_couplers_to_axi_interconnect_1_BREADY, - M_AXI_bresp(1 downto 0) => s00_couplers_to_axi_interconnect_1_BRESP(1 downto 0), - M_AXI_bvalid => s00_couplers_to_axi_interconnect_1_BVALID, - M_AXI_rdata(31 downto 0) => s00_couplers_to_axi_interconnect_1_RDATA(31 downto 0), - M_AXI_rready => s00_couplers_to_axi_interconnect_1_RREADY, - M_AXI_rresp(1 downto 0) => s00_couplers_to_axi_interconnect_1_RRESP(1 downto 0), - M_AXI_rvalid => s00_couplers_to_axi_interconnect_1_RVALID, - M_AXI_wdata(31 downto 0) => s00_couplers_to_axi_interconnect_1_WDATA(31 downto 0), - M_AXI_wready => s00_couplers_to_axi_interconnect_1_WREADY, - M_AXI_wstrb(3 downto 0) => s00_couplers_to_axi_interconnect_1_WSTRB(3 downto 0), - M_AXI_wvalid => s00_couplers_to_axi_interconnect_1_WVALID, + M_AXI_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0), + M_AXI_arburst(1 downto 0) => s00_couplers_to_xbar_ARBURST(1 downto 0), + M_AXI_arcache(3 downto 0) => s00_couplers_to_xbar_ARCACHE(3 downto 0), + M_AXI_arid(11 downto 0) => s00_couplers_to_xbar_ARID(11 downto 0), + M_AXI_arlen(7 downto 0) => s00_couplers_to_xbar_ARLEN(7 downto 0), + M_AXI_arlock(0) => s00_couplers_to_xbar_ARLOCK(0), + M_AXI_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0), + M_AXI_arqos(3 downto 0) => s00_couplers_to_xbar_ARQOS(3 downto 0), + M_AXI_arready => s00_couplers_to_xbar_ARREADY(0), + M_AXI_arsize(2 downto 0) => s00_couplers_to_xbar_ARSIZE(2 downto 0), + M_AXI_arvalid => s00_couplers_to_xbar_ARVALID, + M_AXI_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0), + M_AXI_awburst(1 downto 0) => s00_couplers_to_xbar_AWBURST(1 downto 0), + M_AXI_awcache(3 downto 0) => s00_couplers_to_xbar_AWCACHE(3 downto 0), + M_AXI_awid(11 downto 0) => s00_couplers_to_xbar_AWID(11 downto 0), + M_AXI_awlen(7 downto 0) => s00_couplers_to_xbar_AWLEN(7 downto 0), + M_AXI_awlock(0) => s00_couplers_to_xbar_AWLOCK(0), + M_AXI_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0), + M_AXI_awqos(3 downto 0) => s00_couplers_to_xbar_AWQOS(3 downto 0), + M_AXI_awready => s00_couplers_to_xbar_AWREADY(0), + M_AXI_awsize(2 downto 0) => s00_couplers_to_xbar_AWSIZE(2 downto 0), + M_AXI_awvalid => s00_couplers_to_xbar_AWVALID, + M_AXI_bid(11 downto 0) => s00_couplers_to_xbar_BID(11 downto 0), + M_AXI_bready => s00_couplers_to_xbar_BREADY, + M_AXI_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0), + M_AXI_bvalid => s00_couplers_to_xbar_BVALID(0), + M_AXI_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0), + M_AXI_rid(11 downto 0) => s00_couplers_to_xbar_RID(11 downto 0), + M_AXI_rlast => s00_couplers_to_xbar_RLAST(0), + M_AXI_rready => s00_couplers_to_xbar_RREADY, + M_AXI_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0), + M_AXI_rvalid => s00_couplers_to_xbar_RVALID(0), + M_AXI_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0), + M_AXI_wlast => s00_couplers_to_xbar_WLAST, + M_AXI_wready => s00_couplers_to_xbar_WREADY(0), + M_AXI_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0), + M_AXI_wvalid => s00_couplers_to_xbar_WVALID, S_ACLK => S00_ACLK_1, S_ARESETN(0) => S00_ARESETN_1(0), S_AXI_araddr(31 downto 0) => axi_interconnect_1_to_s00_couplers_ARADDR(31 downto 0), @@ -2118,6 +3196,159 @@ s00_couplers: entity work.s00_couplers_imp_JGLB8L S_AXI_wstrb(3 downto 0) => axi_interconnect_1_to_s00_couplers_WSTRB(3 downto 0), S_AXI_wvalid => axi_interconnect_1_to_s00_couplers_WVALID ); +xbar: component system_design_xbar_1 + port map ( + aclk => axi_interconnect_1_ACLK_net, + aresetn => axi_interconnect_1_ARESETN_net(0), + m_axi_araddr(63 downto 32) => xbar_to_m01_couplers_ARADDR(63 downto 32), + m_axi_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0), + m_axi_arburst(3 downto 2) => xbar_to_m01_couplers_ARBURST(3 downto 2), + m_axi_arburst(1 downto 0) => xbar_to_m00_couplers_ARBURST(1 downto 0), + m_axi_arcache(7 downto 4) => xbar_to_m01_couplers_ARCACHE(7 downto 4), + m_axi_arcache(3 downto 0) => xbar_to_m00_couplers_ARCACHE(3 downto 0), + m_axi_arid(23 downto 12) => NLW_xbar_m_axi_arid_UNCONNECTED(23 downto 12), + m_axi_arid(11 downto 0) => xbar_to_m00_couplers_ARID(11 downto 0), + m_axi_arlen(15 downto 8) => xbar_to_m01_couplers_ARLEN(15 downto 8), + m_axi_arlen(7 downto 0) => xbar_to_m00_couplers_ARLEN(7 downto 0), + m_axi_arlock(1) => xbar_to_m01_couplers_ARLOCK(1), + m_axi_arlock(0) => xbar_to_m00_couplers_ARLOCK(0), + m_axi_arprot(5 downto 3) => xbar_to_m01_couplers_ARPROT(5 downto 3), + m_axi_arprot(2 downto 0) => xbar_to_m00_couplers_ARPROT(2 downto 0), + m_axi_arqos(7 downto 4) => xbar_to_m01_couplers_ARQOS(7 downto 4), + m_axi_arqos(3 downto 0) => xbar_to_m00_couplers_ARQOS(3 downto 0), + m_axi_arready(1) => xbar_to_m01_couplers_ARREADY, + m_axi_arready(0) => xbar_to_m00_couplers_ARREADY, + m_axi_arregion(7 downto 4) => xbar_to_m01_couplers_ARREGION(7 downto 4), + m_axi_arregion(3 downto 0) => xbar_to_m00_couplers_ARREGION(3 downto 0), + m_axi_arsize(5 downto 3) => xbar_to_m01_couplers_ARSIZE(5 downto 3), + m_axi_arsize(2 downto 0) => xbar_to_m00_couplers_ARSIZE(2 downto 0), + m_axi_arvalid(1) => xbar_to_m01_couplers_ARVALID(1), + m_axi_arvalid(0) => xbar_to_m00_couplers_ARVALID(0), + m_axi_awaddr(63 downto 32) => xbar_to_m01_couplers_AWADDR(63 downto 32), + m_axi_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0), + m_axi_awburst(3 downto 2) => xbar_to_m01_couplers_AWBURST(3 downto 2), + m_axi_awburst(1 downto 0) => xbar_to_m00_couplers_AWBURST(1 downto 0), + m_axi_awcache(7 downto 4) => xbar_to_m01_couplers_AWCACHE(7 downto 4), + m_axi_awcache(3 downto 0) => xbar_to_m00_couplers_AWCACHE(3 downto 0), + m_axi_awid(23 downto 12) => NLW_xbar_m_axi_awid_UNCONNECTED(23 downto 12), + m_axi_awid(11 downto 0) => xbar_to_m00_couplers_AWID(11 downto 0), + m_axi_awlen(15 downto 8) => xbar_to_m01_couplers_AWLEN(15 downto 8), + m_axi_awlen(7 downto 0) => xbar_to_m00_couplers_AWLEN(7 downto 0), + m_axi_awlock(1) => xbar_to_m01_couplers_AWLOCK(1), + m_axi_awlock(0) => xbar_to_m00_couplers_AWLOCK(0), + m_axi_awprot(5 downto 3) => xbar_to_m01_couplers_AWPROT(5 downto 3), + m_axi_awprot(2 downto 0) => xbar_to_m00_couplers_AWPROT(2 downto 0), + m_axi_awqos(7 downto 4) => xbar_to_m01_couplers_AWQOS(7 downto 4), + m_axi_awqos(3 downto 0) => xbar_to_m00_couplers_AWQOS(3 downto 0), + m_axi_awready(1) => xbar_to_m01_couplers_AWREADY, + m_axi_awready(0) => xbar_to_m00_couplers_AWREADY, + m_axi_awregion(7 downto 4) => xbar_to_m01_couplers_AWREGION(7 downto 4), + m_axi_awregion(3 downto 0) => xbar_to_m00_couplers_AWREGION(3 downto 0), + m_axi_awsize(5 downto 3) => xbar_to_m01_couplers_AWSIZE(5 downto 3), + m_axi_awsize(2 downto 0) => xbar_to_m00_couplers_AWSIZE(2 downto 0), + m_axi_awvalid(1) => xbar_to_m01_couplers_AWVALID(1), + m_axi_awvalid(0) => xbar_to_m00_couplers_AWVALID(0), + m_axi_bid(23 downto 12) => B"000000000000", + m_axi_bid(11 downto 0) => xbar_to_m00_couplers_BID(11 downto 0), + m_axi_bready(1) => xbar_to_m01_couplers_BREADY(1), + m_axi_bready(0) => xbar_to_m00_couplers_BREADY(0), + m_axi_bresp(3) => xbar_to_m01_couplers_BRESP, + m_axi_bresp(2) => xbar_to_m01_couplers_BRESP, + m_axi_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0), + m_axi_bvalid(1) => xbar_to_m01_couplers_BVALID, + m_axi_bvalid(0) => xbar_to_m00_couplers_BVALID, + m_axi_rdata(63) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(62) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(61) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(60) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(59) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(58) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(57) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(56) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(55) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(54) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(53) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(52) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(51) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(50) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(49) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(48) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(47) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(46) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(45) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(44) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(43) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(42) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(41) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(40) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(39) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(38) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(37) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(36) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(35) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(34) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(33) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(32) => xbar_to_m01_couplers_RDATA, + m_axi_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0), + m_axi_rid(23 downto 12) => B"000000000000", + m_axi_rid(11 downto 0) => xbar_to_m00_couplers_RID(11 downto 0), + m_axi_rlast(1) => xbar_to_m01_couplers_RLAST, + m_axi_rlast(0) => xbar_to_m00_couplers_RLAST, + m_axi_rready(1) => xbar_to_m01_couplers_RREADY(1), + m_axi_rready(0) => xbar_to_m00_couplers_RREADY(0), + m_axi_rresp(3) => xbar_to_m01_couplers_RRESP, + m_axi_rresp(2) => xbar_to_m01_couplers_RRESP, + m_axi_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0), + m_axi_rvalid(1) => xbar_to_m01_couplers_RVALID, + m_axi_rvalid(0) => xbar_to_m00_couplers_RVALID, + m_axi_wdata(63 downto 32) => xbar_to_m01_couplers_WDATA(63 downto 32), + m_axi_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0), + m_axi_wlast(1) => xbar_to_m01_couplers_WLAST(1), + m_axi_wlast(0) => xbar_to_m00_couplers_WLAST(0), + m_axi_wready(1) => xbar_to_m01_couplers_WREADY, + m_axi_wready(0) => xbar_to_m00_couplers_WREADY, + m_axi_wstrb(7 downto 4) => xbar_to_m01_couplers_WSTRB(7 downto 4), + m_axi_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0), + m_axi_wvalid(1) => xbar_to_m01_couplers_WVALID(1), + m_axi_wvalid(0) => xbar_to_m00_couplers_WVALID(0), + s_axi_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0), + s_axi_arburst(1 downto 0) => s00_couplers_to_xbar_ARBURST(1 downto 0), + s_axi_arcache(3 downto 0) => s00_couplers_to_xbar_ARCACHE(3 downto 0), + s_axi_arid(11 downto 0) => s00_couplers_to_xbar_ARID(11 downto 0), + s_axi_arlen(7 downto 0) => s00_couplers_to_xbar_ARLEN(7 downto 0), + s_axi_arlock(0) => s00_couplers_to_xbar_ARLOCK(0), + s_axi_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0), + s_axi_arqos(3 downto 0) => s00_couplers_to_xbar_ARQOS(3 downto 0), + s_axi_arready(0) => s00_couplers_to_xbar_ARREADY(0), + s_axi_arsize(2 downto 0) => s00_couplers_to_xbar_ARSIZE(2 downto 0), + s_axi_arvalid(0) => s00_couplers_to_xbar_ARVALID, + s_axi_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0), + s_axi_awburst(1 downto 0) => s00_couplers_to_xbar_AWBURST(1 downto 0), + s_axi_awcache(3 downto 0) => s00_couplers_to_xbar_AWCACHE(3 downto 0), + s_axi_awid(11 downto 0) => s00_couplers_to_xbar_AWID(11 downto 0), + s_axi_awlen(7 downto 0) => s00_couplers_to_xbar_AWLEN(7 downto 0), + s_axi_awlock(0) => s00_couplers_to_xbar_AWLOCK(0), + s_axi_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0), + s_axi_awqos(3 downto 0) => s00_couplers_to_xbar_AWQOS(3 downto 0), + s_axi_awready(0) => s00_couplers_to_xbar_AWREADY(0), + s_axi_awsize(2 downto 0) => s00_couplers_to_xbar_AWSIZE(2 downto 0), + s_axi_awvalid(0) => s00_couplers_to_xbar_AWVALID, + s_axi_bid(11 downto 0) => s00_couplers_to_xbar_BID(11 downto 0), + s_axi_bready(0) => s00_couplers_to_xbar_BREADY, + s_axi_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0), + s_axi_bvalid(0) => s00_couplers_to_xbar_BVALID(0), + s_axi_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0), + s_axi_rid(11 downto 0) => s00_couplers_to_xbar_RID(11 downto 0), + s_axi_rlast(0) => s00_couplers_to_xbar_RLAST(0), + s_axi_rready(0) => s00_couplers_to_xbar_RREADY, + s_axi_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0), + s_axi_rvalid(0) => s00_couplers_to_xbar_RVALID(0), + s_axi_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0), + s_axi_wlast(0) => s00_couplers_to_xbar_WLAST, + s_axi_wready(0) => s00_couplers_to_xbar_WREADY(0), + s_axi_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0), + s_axi_wvalid(0) => s00_couplers_to_xbar_WVALID + ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; @@ -2192,24 +3423,24 @@ entity system_design_processing_system7_0_axi_periph_3 is M02_AXI_wvalid : out STD_LOGIC; M03_ACLK : in STD_LOGIC; M03_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); - M03_AXI_araddr : out STD_LOGIC; - M03_AXI_arprot : out STD_LOGIC; + M03_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M03_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M03_AXI_arready : in STD_LOGIC; M03_AXI_arvalid : out STD_LOGIC; - M03_AXI_awaddr : out STD_LOGIC; - M03_AXI_awprot : out STD_LOGIC; + M03_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + M03_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M03_AXI_awready : in STD_LOGIC; M03_AXI_awvalid : out STD_LOGIC; M03_AXI_bready : out STD_LOGIC; - M03_AXI_bresp : in STD_LOGIC; + M03_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M03_AXI_bvalid : in STD_LOGIC; - M03_AXI_rdata : in STD_LOGIC; + M03_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M03_AXI_rready : out STD_LOGIC; - M03_AXI_rresp : in STD_LOGIC; + M03_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M03_AXI_rvalid : in STD_LOGIC; - M03_AXI_wdata : out STD_LOGIC; + M03_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M03_AXI_wready : in STD_LOGIC; - M03_AXI_wstrb : out STD_LOGIC; + M03_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M03_AXI_wvalid : out STD_LOGIC; M04_ACLK : in STD_LOGIC; M04_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); @@ -2449,24 +3680,24 @@ architecture STRUCTURE of system_design_processing_system7_0_axi_periph_3 is signal m02_couplers_to_processing_system7_0_axi_periph_WREADY : STD_LOGIC; signal m02_couplers_to_processing_system7_0_axi_periph_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m02_couplers_to_processing_system7_0_axi_periph_WVALID : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_ARPROT : STD_LOGIC; + signal m03_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m03_couplers_to_processing_system7_0_axi_periph_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m03_couplers_to_processing_system7_0_axi_periph_ARREADY : STD_LOGIC; signal m03_couplers_to_processing_system7_0_axi_periph_ARVALID : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_AWADDR : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_AWPROT : STD_LOGIC; + signal m03_couplers_to_processing_system7_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal m03_couplers_to_processing_system7_0_axi_periph_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m03_couplers_to_processing_system7_0_axi_periph_AWREADY : STD_LOGIC; signal m03_couplers_to_processing_system7_0_axi_periph_AWVALID : STD_LOGIC; signal m03_couplers_to_processing_system7_0_axi_periph_BREADY : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_BRESP : STD_LOGIC; + signal m03_couplers_to_processing_system7_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m03_couplers_to_processing_system7_0_axi_periph_BVALID : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_RDATA : STD_LOGIC; + signal m03_couplers_to_processing_system7_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_processing_system7_0_axi_periph_RREADY : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_RRESP : STD_LOGIC; + signal m03_couplers_to_processing_system7_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m03_couplers_to_processing_system7_0_axi_periph_RVALID : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_WDATA : STD_LOGIC; + signal m03_couplers_to_processing_system7_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_processing_system7_0_axi_periph_WREADY : STD_LOGIC; - signal m03_couplers_to_processing_system7_0_axi_periph_WSTRB : STD_LOGIC; + signal m03_couplers_to_processing_system7_0_axi_periph_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m03_couplers_to_processing_system7_0_axi_periph_WVALID : STD_LOGIC; signal m04_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_processing_system7_0_axi_periph_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 ); @@ -2660,11 +3891,11 @@ architecture STRUCTURE of system_design_processing_system7_0_axi_periph_3 is signal xbar_to_m03_couplers_AWREADY : STD_LOGIC; signal xbar_to_m03_couplers_AWVALID : STD_LOGIC_VECTOR ( 3 to 3 ); signal xbar_to_m03_couplers_BREADY : STD_LOGIC_VECTOR ( 3 to 3 ); - signal xbar_to_m03_couplers_BRESP : STD_LOGIC; + signal xbar_to_m03_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m03_couplers_BVALID : STD_LOGIC; - signal xbar_to_m03_couplers_RDATA : STD_LOGIC; + signal xbar_to_m03_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m03_couplers_RREADY : STD_LOGIC_VECTOR ( 3 to 3 ); - signal xbar_to_m03_couplers_RRESP : STD_LOGIC; + signal xbar_to_m03_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m03_couplers_RVALID : STD_LOGIC; signal xbar_to_m03_couplers_WDATA : STD_LOGIC_VECTOR ( 127 downto 96 ); signal xbar_to_m03_couplers_WREADY : STD_LOGIC; @@ -2782,16 +4013,16 @@ begin M02_AXI_wvalid <= m02_couplers_to_processing_system7_0_axi_periph_WVALID; M03_ACLK_1 <= M03_ACLK; M03_ARESETN_1(0) <= M03_ARESETN(0); - M03_AXI_araddr <= m03_couplers_to_processing_system7_0_axi_periph_ARADDR; - M03_AXI_arprot <= m03_couplers_to_processing_system7_0_axi_periph_ARPROT; + M03_AXI_araddr(31 downto 0) <= m03_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0); + M03_AXI_arprot(2 downto 0) <= m03_couplers_to_processing_system7_0_axi_periph_ARPROT(2 downto 0); M03_AXI_arvalid <= m03_couplers_to_processing_system7_0_axi_periph_ARVALID; - M03_AXI_awaddr <= m03_couplers_to_processing_system7_0_axi_periph_AWADDR; - M03_AXI_awprot <= m03_couplers_to_processing_system7_0_axi_periph_AWPROT; + M03_AXI_awaddr(31 downto 0) <= m03_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0); + M03_AXI_awprot(2 downto 0) <= m03_couplers_to_processing_system7_0_axi_periph_AWPROT(2 downto 0); M03_AXI_awvalid <= m03_couplers_to_processing_system7_0_axi_periph_AWVALID; M03_AXI_bready <= m03_couplers_to_processing_system7_0_axi_periph_BREADY; M03_AXI_rready <= m03_couplers_to_processing_system7_0_axi_periph_RREADY; - M03_AXI_wdata <= m03_couplers_to_processing_system7_0_axi_periph_WDATA; - M03_AXI_wstrb <= m03_couplers_to_processing_system7_0_axi_periph_WSTRB; + M03_AXI_wdata(31 downto 0) <= m03_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0); + M03_AXI_wstrb(3 downto 0) <= m03_couplers_to_processing_system7_0_axi_periph_WSTRB(3 downto 0); M03_AXI_wvalid <= m03_couplers_to_processing_system7_0_axi_periph_WVALID; M04_ACLK_1 <= M04_ACLK; M04_ARESETN_1(0) <= M04_ARESETN(0); @@ -2875,10 +4106,10 @@ begin m02_couplers_to_processing_system7_0_axi_periph_WREADY <= M02_AXI_wready; m03_couplers_to_processing_system7_0_axi_periph_ARREADY <= M03_AXI_arready; m03_couplers_to_processing_system7_0_axi_periph_AWREADY <= M03_AXI_awready; - m03_couplers_to_processing_system7_0_axi_periph_BRESP <= M03_AXI_bresp; + m03_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0) <= M03_AXI_bresp(1 downto 0); m03_couplers_to_processing_system7_0_axi_periph_BVALID <= M03_AXI_bvalid; - m03_couplers_to_processing_system7_0_axi_periph_RDATA <= M03_AXI_rdata; - m03_couplers_to_processing_system7_0_axi_periph_RRESP <= M03_AXI_rresp; + m03_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0) <= M03_AXI_rdata(31 downto 0); + m03_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0) <= M03_AXI_rresp(1 downto 0); m03_couplers_to_processing_system7_0_axi_periph_RVALID <= M03_AXI_rvalid; m03_couplers_to_processing_system7_0_axi_periph_WREADY <= M03_AXI_wready; m04_couplers_to_processing_system7_0_axi_periph_ARREADY(0) <= M04_AXI_arready(0); @@ -3081,45 +4312,45 @@ m03_couplers: entity work.m03_couplers_imp_1TMTHD3 port map ( M_ACLK => M03_ACLK_1, M_ARESETN(0) => M03_ARESETN_1(0), - M_AXI_araddr => m03_couplers_to_processing_system7_0_axi_periph_ARADDR, - M_AXI_arprot => m03_couplers_to_processing_system7_0_axi_periph_ARPROT, + M_AXI_araddr(31 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0), + M_AXI_arprot(2 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_ARPROT(2 downto 0), M_AXI_arready => m03_couplers_to_processing_system7_0_axi_periph_ARREADY, M_AXI_arvalid => m03_couplers_to_processing_system7_0_axi_periph_ARVALID, - M_AXI_awaddr => m03_couplers_to_processing_system7_0_axi_periph_AWADDR, - M_AXI_awprot => m03_couplers_to_processing_system7_0_axi_periph_AWPROT, + M_AXI_awaddr(31 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0), + M_AXI_awprot(2 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_AWPROT(2 downto 0), M_AXI_awready => m03_couplers_to_processing_system7_0_axi_periph_AWREADY, M_AXI_awvalid => m03_couplers_to_processing_system7_0_axi_periph_AWVALID, M_AXI_bready => m03_couplers_to_processing_system7_0_axi_periph_BREADY, - M_AXI_bresp => m03_couplers_to_processing_system7_0_axi_periph_BRESP, + M_AXI_bresp(1 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0), M_AXI_bvalid => m03_couplers_to_processing_system7_0_axi_periph_BVALID, - M_AXI_rdata => m03_couplers_to_processing_system7_0_axi_periph_RDATA, + M_AXI_rdata(31 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0), M_AXI_rready => m03_couplers_to_processing_system7_0_axi_periph_RREADY, - M_AXI_rresp => m03_couplers_to_processing_system7_0_axi_periph_RRESP, + M_AXI_rresp(1 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0), M_AXI_rvalid => m03_couplers_to_processing_system7_0_axi_periph_RVALID, - M_AXI_wdata => m03_couplers_to_processing_system7_0_axi_periph_WDATA, + M_AXI_wdata(31 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0), M_AXI_wready => m03_couplers_to_processing_system7_0_axi_periph_WREADY, - M_AXI_wstrb => m03_couplers_to_processing_system7_0_axi_periph_WSTRB, + M_AXI_wstrb(3 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_WSTRB(3 downto 0), M_AXI_wvalid => m03_couplers_to_processing_system7_0_axi_periph_WVALID, S_ACLK => processing_system7_0_axi_periph_ACLK_net, S_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0), - S_AXI_araddr => xbar_to_m03_couplers_ARADDR(96), - S_AXI_arprot => xbar_to_m03_couplers_ARPROT(9), + S_AXI_araddr(31 downto 0) => xbar_to_m03_couplers_ARADDR(127 downto 96), + S_AXI_arprot(2 downto 0) => xbar_to_m03_couplers_ARPROT(11 downto 9), S_AXI_arready => xbar_to_m03_couplers_ARREADY, S_AXI_arvalid => xbar_to_m03_couplers_ARVALID(3), - S_AXI_awaddr => xbar_to_m03_couplers_AWADDR(96), - S_AXI_awprot => xbar_to_m03_couplers_AWPROT(9), + S_AXI_awaddr(31 downto 0) => xbar_to_m03_couplers_AWADDR(127 downto 96), + S_AXI_awprot(2 downto 0) => xbar_to_m03_couplers_AWPROT(11 downto 9), S_AXI_awready => xbar_to_m03_couplers_AWREADY, S_AXI_awvalid => xbar_to_m03_couplers_AWVALID(3), S_AXI_bready => xbar_to_m03_couplers_BREADY(3), - S_AXI_bresp => xbar_to_m03_couplers_BRESP, + S_AXI_bresp(1 downto 0) => xbar_to_m03_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m03_couplers_BVALID, - S_AXI_rdata => xbar_to_m03_couplers_RDATA, + S_AXI_rdata(31 downto 0) => xbar_to_m03_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m03_couplers_RREADY(3), - S_AXI_rresp => xbar_to_m03_couplers_RRESP, + S_AXI_rresp(1 downto 0) => xbar_to_m03_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m03_couplers_RVALID, - S_AXI_wdata => xbar_to_m03_couplers_WDATA(96), + S_AXI_wdata(31 downto 0) => xbar_to_m03_couplers_WDATA(127 downto 96), S_AXI_wready => xbar_to_m03_couplers_WREADY, - S_AXI_wstrb => xbar_to_m03_couplers_WSTRB(12), + S_AXI_wstrb(3 downto 0) => xbar_to_m03_couplers_WSTRB(15 downto 12), S_AXI_wvalid => xbar_to_m03_couplers_WVALID(3) ); m04_couplers: entity work.m04_couplers_imp_16SD8CP @@ -3422,8 +4653,7 @@ xbar: component system_design_xbar_0 m_axi_bresp(13 downto 12) => xbar_to_m06_couplers_BRESP(1 downto 0), m_axi_bresp(11 downto 10) => xbar_to_m05_couplers_BRESP(1 downto 0), m_axi_bresp(9 downto 8) => xbar_to_m04_couplers_BRESP(1 downto 0), - m_axi_bresp(7) => xbar_to_m03_couplers_BRESP, - m_axi_bresp(6) => xbar_to_m03_couplers_BRESP, + m_axi_bresp(7 downto 6) => xbar_to_m03_couplers_BRESP(1 downto 0), m_axi_bresp(5 downto 4) => xbar_to_m02_couplers_BRESP(1 downto 0), m_axi_bresp(3 downto 2) => xbar_to_m01_couplers_BRESP(1 downto 0), m_axi_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0), @@ -3439,38 +4669,7 @@ xbar: component system_design_xbar_0 m_axi_rdata(223 downto 192) => xbar_to_m06_couplers_RDATA(31 downto 0), m_axi_rdata(191 downto 160) => xbar_to_m05_couplers_RDATA(31 downto 0), m_axi_rdata(159 downto 128) => xbar_to_m04_couplers_RDATA(31 downto 0), - m_axi_rdata(127) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(126) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(125) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(124) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(123) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(122) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(121) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(120) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(119) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(118) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(117) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(116) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(115) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(114) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(113) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(112) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(111) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(110) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(109) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(108) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(107) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(106) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(105) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(104) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(103) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(102) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(101) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(100) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(99) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(98) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(97) => xbar_to_m03_couplers_RDATA, - m_axi_rdata(96) => xbar_to_m03_couplers_RDATA, + m_axi_rdata(127 downto 96) => xbar_to_m03_couplers_RDATA(31 downto 0), m_axi_rdata(95 downto 64) => xbar_to_m02_couplers_RDATA(31 downto 0), m_axi_rdata(63 downto 32) => xbar_to_m01_couplers_RDATA(31 downto 0), m_axi_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0), @@ -3486,8 +4685,7 @@ xbar: component system_design_xbar_0 m_axi_rresp(13 downto 12) => xbar_to_m06_couplers_RRESP(1 downto 0), m_axi_rresp(11 downto 10) => xbar_to_m05_couplers_RRESP(1 downto 0), m_axi_rresp(9 downto 8) => xbar_to_m04_couplers_RRESP(1 downto 0), - m_axi_rresp(7) => xbar_to_m03_couplers_RRESP, - m_axi_rresp(6) => xbar_to_m03_couplers_RRESP, + m_axi_rresp(7 downto 6) => xbar_to_m03_couplers_RRESP(1 downto 0), m_axi_rresp(5 downto 4) => xbar_to_m02_couplers_RRESP(1 downto 0), m_axi_rresp(3 downto 2) => xbar_to_m01_couplers_RRESP(1 downto 0), m_axi_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0), @@ -3640,6 +4838,12 @@ entity system_design is i2c_master_fmcx_sda_i : in STD_LOGIC; i2c_master_fmcx_sda_o : out STD_LOGIC; i2c_master_fmcx_sda_t : out STD_LOGIC; + i2c_master_mdio_scl_i : in STD_LOGIC; + i2c_master_mdio_scl_o : out STD_LOGIC; + i2c_master_mdio_scl_t : out STD_LOGIC; + i2c_master_mdio_sda_i : in STD_LOGIC; + i2c_master_mdio_sda_o : out STD_LOGIC; + i2c_master_mdio_sda_t : out STD_LOGIC; led_col_pl_o : out STD_LOGIC_VECTOR ( 3 downto 0 ); led_line_en_pl_o : out STD_LOGIC; led_line_pl_o : out STD_LOGIC; @@ -3648,10 +4852,10 @@ entity system_design is thermo_id : inout STD_LOGIC; watchdog_pl_o : out STD_LOGIC ); - attribute CORE_GENERATION_INFO : string; - attribute CORE_GENERATION_INFO of system_design : entity is "system_design,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=system_design,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=32,numReposBlks=18,numNonXlnxBlks=4,numHierBlks=14,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=0,numPkgbdBlks=0,bdsource=USER,da_axi4_cnt=14,da_board_cnt=5,da_ps7_cnt=1,synth_mode=OOC_per_IP}"; - attribute HW_HANDOFF : string; - attribute HW_HANDOFF of system_design : entity is "system_design.hwdef"; + attribute core_generation_info : string; + attribute core_generation_info of system_design : entity is "system_design,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=system_design,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=37,numReposBlks=21,numNonXlnxBlks=5,numHierBlks=16,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=0,numPkgbdBlks=0,bdsource=USER,da_axi4_cnt=16,da_board_cnt=5,da_ps7_cnt=1,synth_mode=OOC_per_IP}"; + attribute hw_handoff : string; + attribute hw_handoff of system_design : entity is "system_design.hwdef"; end system_design; architecture STRUCTURE of system_design is @@ -4203,6 +5407,38 @@ architecture STRUCTURE of system_design is s00_axi_rready : in STD_LOGIC ); end component system_design_fasec_hwtest_0_0; + component system_design_axi_wb_i2c_master_1_0 is + port ( + i2c_scl_i : in STD_LOGIC; + i2c_scl_o : out STD_LOGIC; + i2c_scl_t : out STD_LOGIC; + i2c_sda_i : in STD_LOGIC; + i2c_sda_o : out STD_LOGIC; + i2c_sda_t : out STD_LOGIC; + axi_int_o : out STD_LOGIC; + s00_axi_aclk : in STD_LOGIC; + s00_axi_aresetn : in STD_LOGIC; + s00_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_awvalid : in STD_LOGIC; + s00_axi_awready : out STD_LOGIC; + s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s00_axi_wvalid : in STD_LOGIC; + s00_axi_wready : out STD_LOGIC; + s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s00_axi_bvalid : out STD_LOGIC; + s00_axi_bready : in STD_LOGIC; + s00_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_arvalid : in STD_LOGIC; + s00_axi_arready : out STD_LOGIC; + s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s00_axi_rvalid : out STD_LOGIC; + s00_axi_rready : in STD_LOGIC + ); + end component system_design_axi_wb_i2c_master_1_0; signal FMC1_CLK0M2C_N_i_1 : STD_LOGIC; signal FMC1_CLK0M2C_P_i_1 : STD_LOGIC; signal FMC1_PRSNTM2C_n_i_1 : STD_LOGIC; @@ -4326,6 +5562,12 @@ architecture STRUCTURE of system_design is signal axi_uartlite_0_interrupt : STD_LOGIC; signal axi_uartlite_0_tx : STD_LOGIC; signal axi_wb_i2c_master_0_axi_int_o : STD_LOGIC; + signal axi_wb_i2c_master_1_i2c_master_SCL_I : STD_LOGIC; + signal axi_wb_i2c_master_1_i2c_master_SCL_O : STD_LOGIC; + signal axi_wb_i2c_master_1_i2c_master_SCL_T : STD_LOGIC; + signal axi_wb_i2c_master_1_i2c_master_SDA_I : STD_LOGIC; + signal axi_wb_i2c_master_1_i2c_master_SDA_O : STD_LOGIC; + signal axi_wb_i2c_master_1_i2c_master_SDA_T : STD_LOGIC; signal axi_wb_i2c_master_2_axi_int_o : STD_LOGIC; signal axi_wb_i2c_master_2_i2c_master_SCL_I : STD_LOGIC; signal axi_wb_i2c_master_2_i2c_master_SCL_O : STD_LOGIC; @@ -4473,6 +5715,25 @@ architecture STRUCTURE of system_design is signal processing_system7_0_axi_periph_M02_AXI_WREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M02_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_M02_AXI_WVALID : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_ARREADY : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_ARVALID : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_AWREADY : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_AWVALID : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_BREADY : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_BVALID : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_RREADY : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_RVALID : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_WREADY : STD_LOGIC; + signal processing_system7_0_axi_periph_M03_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal processing_system7_0_axi_periph_M03_AXI_WVALID : STD_LOGIC; signal processing_system7_0_axi_periph_M04_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_M04_AXI_ARREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M04_AXI_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 ); @@ -4579,10 +5840,37 @@ architecture STRUCTURE of system_design is signal xlconstant_6_dout : STD_LOGIC_VECTOR ( 15 downto 0 ); signal xlconstant_7_dout : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_axi_dma_0_s2mm_prmry_reset_out_n_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_araddr_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arburst_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arcache_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arlen_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arlock_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arprot_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arqos_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arregion_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arsize_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_arvalid_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awaddr_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awburst_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awcache_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awlen_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awlock_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awprot_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awqos_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awregion_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awsize_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_awvalid_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_bready_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_rready_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_wdata_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_wlast_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_wstrb_UNCONNECTED : STD_LOGIC; + signal NLW_axi_interconnect_1_M01_AXI_wvalid_UNCONNECTED : STD_LOGIC; signal NLW_axi_wb_i2c_master_0_i2c_scl_o_UNCONNECTED : STD_LOGIC; signal NLW_axi_wb_i2c_master_0_i2c_scl_t_UNCONNECTED : STD_LOGIC; signal NLW_axi_wb_i2c_master_0_i2c_sda_o_UNCONNECTED : STD_LOGIC; signal NLW_axi_wb_i2c_master_0_i2c_sda_t_UNCONNECTED : STD_LOGIC; + signal NLW_axi_wb_i2c_master_1_axi_int_o_UNCONNECTED : STD_LOGIC; signal NLW_fasec_hwtest_0_FMC1_GP3_b_UNCONNECTED : STD_LOGIC; signal NLW_fasec_hwtest_0_FMC2_GP3_b_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_FCLK_CLK1_UNCONNECTED : STD_LOGIC; @@ -4596,17 +5884,6 @@ architecture STRUCTURE of system_design is signal NLW_processing_system7_0_S_AXI_GP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_processing_system7_0_S_AXI_GP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_processing_system7_0_S_AXI_GP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal NLW_processing_system7_0_axi_periph_M03_AXI_araddr_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_arprot_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_arvalid_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_awaddr_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_awprot_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_awvalid_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_bready_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_rready_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_wdata_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_wstrb_UNCONNECTED : STD_LOGIC; - signal NLW_processing_system7_0_axi_periph_M03_AXI_wvalid_UNCONNECTED : STD_LOGIC; signal NLW_rst_processing_system7_0_100M_mb_reset_UNCONNECTED : STD_LOGIC; signal NLW_rst_processing_system7_0_100M_bus_struct_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_rst_processing_system7_0_100M_peripheral_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); @@ -4653,6 +5930,8 @@ begin Vaux9_1_V_P <= Vaux9_v_p; Vp_Vn_1_V_N <= Vp_Vn_v_n; Vp_Vn_1_V_P <= Vp_Vn_v_p; + axi_wb_i2c_master_1_i2c_master_SCL_I <= i2c_master_mdio_scl_i; + axi_wb_i2c_master_1_i2c_master_SDA_I <= i2c_master_mdio_sda_i; axi_wb_i2c_master_2_i2c_master_SCL_I <= i2c_master_fmcx_scl_i; axi_wb_i2c_master_2_i2c_master_SDA_I <= i2c_master_fmcx_sda_i; clk_25m_vcxo_i_1 <= clk_25m_vcxo_i; @@ -4676,6 +5955,10 @@ begin i2c_master_fmcx_scl_t <= axi_wb_i2c_master_2_i2c_master_SCL_T; i2c_master_fmcx_sda_o <= axi_wb_i2c_master_2_i2c_master_SDA_O; i2c_master_fmcx_sda_t <= axi_wb_i2c_master_2_i2c_master_SDA_T; + i2c_master_mdio_scl_o <= axi_wb_i2c_master_1_i2c_master_SCL_O; + i2c_master_mdio_scl_t <= axi_wb_i2c_master_1_i2c_master_SCL_T; + i2c_master_mdio_sda_o <= axi_wb_i2c_master_1_i2c_master_SDA_O; + i2c_master_mdio_sda_t <= axi_wb_i2c_master_1_i2c_master_SDA_T; led_col_pl_o(3 downto 0) <= fasec_hwtest_0_led_col_pl_o(3 downto 0); led_line_en_pl_o <= fasec_hwtest_0_led_line_en_pl_o; led_line_pl_o <= fasec_hwtest_0_led_line_pl_o; @@ -4800,6 +6083,43 @@ axi_interconnect_1: entity work.system_design_axi_interconnect_1_0 M00_AXI_wready => axi_interconnect_1_M00_AXI_WREADY, M00_AXI_wstrb(3 downto 0) => axi_interconnect_1_M00_AXI_WSTRB(3 downto 0), M00_AXI_wvalid => axi_interconnect_1_M00_AXI_WVALID, + M01_ACLK => processing_system7_0_FCLK_CLK0, + M01_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0), + M01_AXI_araddr => NLW_axi_interconnect_1_M01_AXI_araddr_UNCONNECTED, + M01_AXI_arburst => NLW_axi_interconnect_1_M01_AXI_arburst_UNCONNECTED, + M01_AXI_arcache => NLW_axi_interconnect_1_M01_AXI_arcache_UNCONNECTED, + M01_AXI_arlen => NLW_axi_interconnect_1_M01_AXI_arlen_UNCONNECTED, + M01_AXI_arlock => NLW_axi_interconnect_1_M01_AXI_arlock_UNCONNECTED, + M01_AXI_arprot => NLW_axi_interconnect_1_M01_AXI_arprot_UNCONNECTED, + M01_AXI_arqos => NLW_axi_interconnect_1_M01_AXI_arqos_UNCONNECTED, + M01_AXI_arready => '0', + M01_AXI_arregion => NLW_axi_interconnect_1_M01_AXI_arregion_UNCONNECTED, + M01_AXI_arsize => NLW_axi_interconnect_1_M01_AXI_arsize_UNCONNECTED, + M01_AXI_arvalid => NLW_axi_interconnect_1_M01_AXI_arvalid_UNCONNECTED, + M01_AXI_awaddr => NLW_axi_interconnect_1_M01_AXI_awaddr_UNCONNECTED, + M01_AXI_awburst => NLW_axi_interconnect_1_M01_AXI_awburst_UNCONNECTED, + M01_AXI_awcache => NLW_axi_interconnect_1_M01_AXI_awcache_UNCONNECTED, + M01_AXI_awlen => NLW_axi_interconnect_1_M01_AXI_awlen_UNCONNECTED, + M01_AXI_awlock => NLW_axi_interconnect_1_M01_AXI_awlock_UNCONNECTED, + M01_AXI_awprot => NLW_axi_interconnect_1_M01_AXI_awprot_UNCONNECTED, + M01_AXI_awqos => NLW_axi_interconnect_1_M01_AXI_awqos_UNCONNECTED, + M01_AXI_awready => '0', + M01_AXI_awregion => NLW_axi_interconnect_1_M01_AXI_awregion_UNCONNECTED, + M01_AXI_awsize => NLW_axi_interconnect_1_M01_AXI_awsize_UNCONNECTED, + M01_AXI_awvalid => NLW_axi_interconnect_1_M01_AXI_awvalid_UNCONNECTED, + M01_AXI_bready => NLW_axi_interconnect_1_M01_AXI_bready_UNCONNECTED, + M01_AXI_bresp => '0', + M01_AXI_bvalid => '0', + M01_AXI_rdata => '0', + M01_AXI_rlast => '0', + M01_AXI_rready => NLW_axi_interconnect_1_M01_AXI_rready_UNCONNECTED, + M01_AXI_rresp => '0', + M01_AXI_rvalid => '0', + M01_AXI_wdata => NLW_axi_interconnect_1_M01_AXI_wdata_UNCONNECTED, + M01_AXI_wlast => NLW_axi_interconnect_1_M01_AXI_wlast_UNCONNECTED, + M01_AXI_wready => '0', + M01_AXI_wstrb => NLW_axi_interconnect_1_M01_AXI_wstrb_UNCONNECTED, + M01_AXI_wvalid => NLW_axi_interconnect_1_M01_AXI_wvalid_UNCONNECTED, S00_ACLK => wrc_1p_kintex7_0_s00_axi_aclk_o, S00_ARESETN(0) => rst_wrc_1p_kintex7_0_62M_peripheral_aresetn(0), S00_AXI_araddr(31 downto 0) => S00_AXI_1_ARADDR(31 downto 0), @@ -4897,6 +6217,37 @@ axi_wb_i2c_master_0: component system_design_axi_wb_i2c_master_0_1 s00_axi_wstrb(3 downto 0) => processing_system7_0_axi_periph_M01_AXI_WSTRB(3 downto 0), s00_axi_wvalid => processing_system7_0_axi_periph_M01_AXI_WVALID ); +axi_wb_i2c_master_1: component system_design_axi_wb_i2c_master_1_0 + port map ( + axi_int_o => NLW_axi_wb_i2c_master_1_axi_int_o_UNCONNECTED, + i2c_scl_i => axi_wb_i2c_master_1_i2c_master_SCL_I, + i2c_scl_o => axi_wb_i2c_master_1_i2c_master_SCL_O, + i2c_scl_t => axi_wb_i2c_master_1_i2c_master_SCL_T, + i2c_sda_i => axi_wb_i2c_master_1_i2c_master_SDA_I, + i2c_sda_o => axi_wb_i2c_master_1_i2c_master_SDA_O, + i2c_sda_t => axi_wb_i2c_master_1_i2c_master_SDA_T, + s00_axi_aclk => processing_system7_0_FCLK_CLK0, + s00_axi_araddr(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_ARADDR(31 downto 0), + s00_axi_aresetn => rst_processing_system7_0_100M_peripheral_aresetn(0), + s00_axi_arprot(2 downto 0) => processing_system7_0_axi_periph_M03_AXI_ARPROT(2 downto 0), + s00_axi_arready => processing_system7_0_axi_periph_M03_AXI_ARREADY, + s00_axi_arvalid => processing_system7_0_axi_periph_M03_AXI_ARVALID, + s00_axi_awaddr(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_AWADDR(31 downto 0), + s00_axi_awprot(2 downto 0) => processing_system7_0_axi_periph_M03_AXI_AWPROT(2 downto 0), + s00_axi_awready => processing_system7_0_axi_periph_M03_AXI_AWREADY, + s00_axi_awvalid => processing_system7_0_axi_periph_M03_AXI_AWVALID, + s00_axi_bready => processing_system7_0_axi_periph_M03_AXI_BREADY, + s00_axi_bresp(1 downto 0) => processing_system7_0_axi_periph_M03_AXI_BRESP(1 downto 0), + s00_axi_bvalid => processing_system7_0_axi_periph_M03_AXI_BVALID, + s00_axi_rdata(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_RDATA(31 downto 0), + s00_axi_rready => processing_system7_0_axi_periph_M03_AXI_RREADY, + s00_axi_rresp(1 downto 0) => processing_system7_0_axi_periph_M03_AXI_RRESP(1 downto 0), + s00_axi_rvalid => processing_system7_0_axi_periph_M03_AXI_RVALID, + s00_axi_wdata(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_WDATA(31 downto 0), + s00_axi_wready => processing_system7_0_axi_periph_M03_AXI_WREADY, + s00_axi_wstrb(3 downto 0) => processing_system7_0_axi_periph_M03_AXI_WSTRB(3 downto 0), + s00_axi_wvalid => processing_system7_0_axi_periph_M03_AXI_WVALID + ); axi_wb_i2c_master_2: component system_design_axi_wb_i2c_master_2_0 port map ( axi_int_o => axi_wb_i2c_master_2_axi_int_o, @@ -5208,25 +6559,25 @@ processing_system7_0_axi_periph: entity work.system_design_processing_system7_0_ M02_AXI_wvalid => processing_system7_0_axi_periph_M02_AXI_WVALID, M03_ACLK => processing_system7_0_FCLK_CLK0, M03_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0), - M03_AXI_araddr => NLW_processing_system7_0_axi_periph_M03_AXI_araddr_UNCONNECTED, - M03_AXI_arprot => NLW_processing_system7_0_axi_periph_M03_AXI_arprot_UNCONNECTED, - M03_AXI_arready => '0', - M03_AXI_arvalid => NLW_processing_system7_0_axi_periph_M03_AXI_arvalid_UNCONNECTED, - M03_AXI_awaddr => NLW_processing_system7_0_axi_periph_M03_AXI_awaddr_UNCONNECTED, - M03_AXI_awprot => NLW_processing_system7_0_axi_periph_M03_AXI_awprot_UNCONNECTED, - M03_AXI_awready => '0', - M03_AXI_awvalid => NLW_processing_system7_0_axi_periph_M03_AXI_awvalid_UNCONNECTED, - M03_AXI_bready => NLW_processing_system7_0_axi_periph_M03_AXI_bready_UNCONNECTED, - M03_AXI_bresp => '0', - M03_AXI_bvalid => '0', - M03_AXI_rdata => '0', - M03_AXI_rready => NLW_processing_system7_0_axi_periph_M03_AXI_rready_UNCONNECTED, - M03_AXI_rresp => '0', - M03_AXI_rvalid => '0', - M03_AXI_wdata => NLW_processing_system7_0_axi_periph_M03_AXI_wdata_UNCONNECTED, - M03_AXI_wready => '0', - M03_AXI_wstrb => NLW_processing_system7_0_axi_periph_M03_AXI_wstrb_UNCONNECTED, - M03_AXI_wvalid => NLW_processing_system7_0_axi_periph_M03_AXI_wvalid_UNCONNECTED, + M03_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_ARADDR(31 downto 0), + M03_AXI_arprot(2 downto 0) => processing_system7_0_axi_periph_M03_AXI_ARPROT(2 downto 0), + M03_AXI_arready => processing_system7_0_axi_periph_M03_AXI_ARREADY, + M03_AXI_arvalid => processing_system7_0_axi_periph_M03_AXI_ARVALID, + M03_AXI_awaddr(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_AWADDR(31 downto 0), + M03_AXI_awprot(2 downto 0) => processing_system7_0_axi_periph_M03_AXI_AWPROT(2 downto 0), + M03_AXI_awready => processing_system7_0_axi_periph_M03_AXI_AWREADY, + M03_AXI_awvalid => processing_system7_0_axi_periph_M03_AXI_AWVALID, + M03_AXI_bready => processing_system7_0_axi_periph_M03_AXI_BREADY, + M03_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_M03_AXI_BRESP(1 downto 0), + M03_AXI_bvalid => processing_system7_0_axi_periph_M03_AXI_BVALID, + M03_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_RDATA(31 downto 0), + M03_AXI_rready => processing_system7_0_axi_periph_M03_AXI_RREADY, + M03_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_M03_AXI_RRESP(1 downto 0), + M03_AXI_rvalid => processing_system7_0_axi_periph_M03_AXI_RVALID, + M03_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_WDATA(31 downto 0), + M03_AXI_wready => processing_system7_0_axi_periph_M03_AXI_WREADY, + M03_AXI_wstrb(3 downto 0) => processing_system7_0_axi_periph_M03_AXI_WSTRB(3 downto 0), + M03_AXI_wvalid => processing_system7_0_axi_periph_M03_AXI_WVALID, M04_ACLK => processing_system7_0_FCLK_CLK0, M04_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0), M04_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_M04_AXI_ARADDR(31 downto 0), diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design_wrapper.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design_wrapper.vhd index a247d67aba26613e575fce9ee9322b5ed8d7cdef..51ea8de5569b412d0f797b41273e1d5c806272e3 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design_wrapper.vhd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design_wrapper.vhd @@ -1,7 +1,7 @@ --Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 ---Date : Thu Oct 12 09:59:13 2017 +--Date : Mon Dec 18 11:23:03 2017 --Host : lapte24154 running 64-bit openSUSE Leap 42.2 --Command : generate_target system_design_wrapper.bd --Design : system_design_wrapper @@ -91,6 +91,8 @@ entity system_design_wrapper is gtp_wr_txp : out STD_LOGIC; i2c_master_fmcx_scl_io : inout STD_LOGIC; i2c_master_fmcx_sda_io : inout STD_LOGIC; + i2c_master_mdio_scl_io : inout STD_LOGIC; + i2c_master_mdio_sda_io : inout STD_LOGIC; led_col_pl_o : out STD_LOGIC_VECTOR ( 3 downto 0 ); led_line_en_pl_o : out STD_LOGIC; led_line_pl_o : out STD_LOGIC; @@ -192,7 +194,13 @@ architecture STRUCTURE of system_design_wrapper is eeprom_sda : inout STD_LOGIC; gtp_dedicated_clk_p_i : in STD_LOGIC; gtp_dedicated_clk_n_i : in STD_LOGIC; - dig_out6_n : out STD_LOGIC_VECTOR ( 0 to 0 ) + dig_out6_n : out STD_LOGIC_VECTOR ( 0 to 0 ); + i2c_master_mdio_scl_i : in STD_LOGIC; + i2c_master_mdio_scl_o : out STD_LOGIC; + i2c_master_mdio_scl_t : out STD_LOGIC; + i2c_master_mdio_sda_o : out STD_LOGIC; + i2c_master_mdio_sda_i : in STD_LOGIC; + i2c_master_mdio_sda_t : out STD_LOGIC ); end component system_design; component IOBUF is @@ -209,6 +217,12 @@ architecture STRUCTURE of system_design_wrapper is signal i2c_master_fmcx_sda_i : STD_LOGIC; signal i2c_master_fmcx_sda_o : STD_LOGIC; signal i2c_master_fmcx_sda_t : STD_LOGIC; + signal i2c_master_mdio_scl_i : STD_LOGIC; + signal i2c_master_mdio_scl_o : STD_LOGIC; + signal i2c_master_mdio_scl_t : STD_LOGIC; + signal i2c_master_mdio_sda_i : STD_LOGIC; + signal i2c_master_mdio_sda_o : STD_LOGIC; + signal i2c_master_mdio_sda_t : STD_LOGIC; begin i2c_master_fmcx_scl_iobuf: component IOBUF port map ( @@ -224,6 +238,20 @@ i2c_master_fmcx_sda_iobuf: component IOBUF O => i2c_master_fmcx_sda_i, T => i2c_master_fmcx_sda_t ); +i2c_master_mdio_scl_iobuf: component IOBUF + port map ( + I => i2c_master_mdio_scl_o, + IO => i2c_master_mdio_scl_io, + O => i2c_master_mdio_scl_i, + T => i2c_master_mdio_scl_t + ); +i2c_master_mdio_sda_iobuf: component IOBUF + port map ( + I => i2c_master_mdio_sda_o, + IO => i2c_master_mdio_sda_io, + O => i2c_master_mdio_sda_i, + T => i2c_master_mdio_sda_t + ); system_design_i: component system_design port map ( DDR_addr(14 downto 0) => DDR_addr(14 downto 0), @@ -308,6 +336,12 @@ system_design_i: component system_design i2c_master_fmcx_sda_i => i2c_master_fmcx_sda_i, i2c_master_fmcx_sda_o => i2c_master_fmcx_sda_o, i2c_master_fmcx_sda_t => i2c_master_fmcx_sda_t, + i2c_master_mdio_scl_i => i2c_master_mdio_scl_i, + i2c_master_mdio_scl_o => i2c_master_mdio_scl_o, + i2c_master_mdio_scl_t => i2c_master_mdio_scl_t, + i2c_master_mdio_sda_i => i2c_master_mdio_sda_i, + i2c_master_mdio_sda_o => i2c_master_mdio_sda_o, + i2c_master_mdio_sda_t => i2c_master_mdio_sda_t, led_col_pl_o(3 downto 0) => led_col_pl_o(3 downto 0), led_line_en_pl_o => led_line_en_pl_o, led_line_pl_o => led_line_pl_o, diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design.hwh b/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design.hwh index df15d86b3bddd8549fbfc4288235e7f15c2fca9f..070b4fd6fad3e664b25ba843f9b08f2c03165a3f 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design.hwh +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design.hwh @@ -1,5 +1,5 @@ <?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<EDKSYSTEM EDWVERSION="1.2" TIMESTAMP="Thu Oct 12 09:59:14 2017" VIVADOVERSION="2016.2"> +<EDKSYSTEM EDWVERSION="1.2" TIMESTAMP="Mon Dec 18 11:23:27 2017" VIVADOVERSION="2016.2"> <SYSTEMINFO ARCH="zynq" DEVICE="7z030" NAME="system_design" PACKAGE="ffg676" SPEEDGRADE="-2"/> @@ -247,6 +247,12 @@ <CONNECTION INSTANCE="fasec_hwtest_0" PORT="dig_out6_n"/> </CONNECTIONS> </PORT> + <PORT DIR="I" NAME="i2c_master_mdio_scl_i" SIGIS="undef"/> + <PORT DIR="O" NAME="i2c_master_mdio_scl_o" SIGIS="undef"/> + <PORT DIR="O" NAME="i2c_master_mdio_scl_t" SIGIS="undef"/> + <PORT DIR="O" NAME="i2c_master_mdio_sda_o" SIGIS="undef"/> + <PORT DIR="I" NAME="i2c_master_mdio_sda_i" SIGIS="undef"/> + <PORT DIR="O" NAME="i2c_master_mdio_sda_t" SIGIS="undef"/> </EXTERNALPORTS> <EXTERNALINTERFACES> @@ -358,6 +364,16 @@ <PORTMAP LOGICAL="SDA_T" PHYSICAL="i2c_master_fmcx_sda_t"/> </PORTMAPS> </BUSINTERFACE> + <BUSINTERFACE BUSNAME="axi_wb_i2c_master_1_i2c_master" NAME="i2c_master_mdio" TYPE="INITIATOR"> + <PORTMAPS> + <PORTMAP LOGICAL="SCL_I" PHYSICAL="i2c_master_mdio_scl_i"/> + <PORTMAP LOGICAL="SCL_O" PHYSICAL="i2c_master_mdio_scl_o"/> + <PORTMAP LOGICAL="SCL_T" PHYSICAL="i2c_master_mdio_scl_t"/> + <PORTMAP LOGICAL="SDA_O" PHYSICAL="i2c_master_mdio_sda_o"/> + <PORTMAP LOGICAL="SDA_I" PHYSICAL="i2c_master_mdio_sda_i"/> + <PORTMAP LOGICAL="SDA_T" PHYSICAL="i2c_master_mdio_sda_t"/> + </PORTMAPS> + </BUSINTERFACE> </EXTERNALINTERFACES> <MODULES> @@ -2264,7 +2280,7 @@ </DOCUMENTS> <PARAMETERS> <PARAMETER NAME="NUM_SI" VALUE="1"/> - <PARAMETER NAME="NUM_MI" VALUE="1"/> + <PARAMETER NAME="NUM_MI" VALUE="2"/> <PARAMETER NAME="STRATEGY" VALUE="0"/> <PARAMETER NAME="ENABLE_ADVANCED_OPTIONS" VALUE="0"/> <PARAMETER NAME="ENABLE_PROTOCOL_CHECKERS" VALUE="0"/> @@ -2896,6 +2912,51 @@ <CONNECTION INSTANCE="processing_system7_0" PORT="M_AXI_GP1_RDATA"/> </CONNECTIONS> </PORT> + <PORT DIR="I" NAME="M01_ACLK" SIGIS="clk" SIGNAME="processing_system7_0_FCLK_CLK0"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0" PORT="FCLK_CLK0"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="0" NAME="M01_ARESETN" RIGHT="0" SIGIS="rst" SIGNAME="rst_processing_system7_0_100M_peripheral_aresetn"> + <CONNECTIONS> + <CONNECTION INSTANCE="rst_processing_system7_0_100M" PORT="peripheral_aresetn"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="M01_AXI_awaddr" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awlen" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awsize" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awburst" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awlock" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awcache" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awprot" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awregion" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awqos" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_awvalid" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_awready" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_wdata" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_wstrb" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_wlast" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_wvalid" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_wready" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_bresp" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_bvalid" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_bready" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_araddr" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arlen" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arsize" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arburst" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arlock" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arcache" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arprot" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arregion" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arqos" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_arvalid" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_arready" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_rdata" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_rresp" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_rlast" SIGIS="undef"/> + <PORT DIR="I" NAME="M01_AXI_rvalid" SIGIS="undef"/> + <PORT DIR="O" NAME="M01_AXI_rready" SIGIS="undef"/> </PORTS> <BUSINTERFACES> <BUSINTERFACE BUSNAME="processing_system7_0_M_AXI_GP1" DATAWIDTH="32" NAME="S00_AXI" TYPE="SLAVE" VLNV="xilinx.com:interface:aximm:1.0"> @@ -2963,6 +3024,45 @@ <PORTMAP LOGICAL="RREADY" PHYSICAL="M00_AXI_rready"/> </PORTMAPS> </BUSINTERFACE> + <BUSINTERFACE BUSNAME="__NOC__" NAME="M01_AXI" TYPE="MASTER" VLNV="xilinx.com:interface:aximm:1.0"> + <PORTMAPS> + <PORTMAP LOGICAL="AWADDR" PHYSICAL="M01_AXI_awaddr"/> + <PORTMAP LOGICAL="AWLEN" PHYSICAL="M01_AXI_awlen"/> + <PORTMAP LOGICAL="AWSIZE" PHYSICAL="M01_AXI_awsize"/> + <PORTMAP LOGICAL="AWBURST" PHYSICAL="M01_AXI_awburst"/> + <PORTMAP LOGICAL="AWLOCK" PHYSICAL="M01_AXI_awlock"/> + <PORTMAP LOGICAL="AWCACHE" PHYSICAL="M01_AXI_awcache"/> + <PORTMAP LOGICAL="AWPROT" PHYSICAL="M01_AXI_awprot"/> + <PORTMAP LOGICAL="AWREGION" PHYSICAL="M01_AXI_awregion"/> + <PORTMAP LOGICAL="AWQOS" PHYSICAL="M01_AXI_awqos"/> + <PORTMAP LOGICAL="AWVALID" PHYSICAL="M01_AXI_awvalid"/> + <PORTMAP LOGICAL="AWREADY" PHYSICAL="M01_AXI_awready"/> + <PORTMAP LOGICAL="WDATA" PHYSICAL="M01_AXI_wdata"/> + <PORTMAP LOGICAL="WSTRB" PHYSICAL="M01_AXI_wstrb"/> + <PORTMAP LOGICAL="WLAST" PHYSICAL="M01_AXI_wlast"/> + <PORTMAP LOGICAL="WVALID" PHYSICAL="M01_AXI_wvalid"/> + <PORTMAP LOGICAL="WREADY" PHYSICAL="M01_AXI_wready"/> + <PORTMAP LOGICAL="BRESP" PHYSICAL="M01_AXI_bresp"/> + <PORTMAP LOGICAL="BVALID" PHYSICAL="M01_AXI_bvalid"/> + <PORTMAP LOGICAL="BREADY" PHYSICAL="M01_AXI_bready"/> + <PORTMAP LOGICAL="ARADDR" PHYSICAL="M01_AXI_araddr"/> + <PORTMAP LOGICAL="ARLEN" PHYSICAL="M01_AXI_arlen"/> + <PORTMAP LOGICAL="ARSIZE" PHYSICAL="M01_AXI_arsize"/> + <PORTMAP LOGICAL="ARBURST" PHYSICAL="M01_AXI_arburst"/> + <PORTMAP LOGICAL="ARLOCK" PHYSICAL="M01_AXI_arlock"/> + <PORTMAP LOGICAL="ARCACHE" PHYSICAL="M01_AXI_arcache"/> + <PORTMAP LOGICAL="ARPROT" PHYSICAL="M01_AXI_arprot"/> + <PORTMAP LOGICAL="ARREGION" PHYSICAL="M01_AXI_arregion"/> + <PORTMAP LOGICAL="ARQOS" PHYSICAL="M01_AXI_arqos"/> + <PORTMAP LOGICAL="ARVALID" PHYSICAL="M01_AXI_arvalid"/> + <PORTMAP LOGICAL="ARREADY" PHYSICAL="M01_AXI_arready"/> + <PORTMAP LOGICAL="RDATA" PHYSICAL="M01_AXI_rdata"/> + <PORTMAP LOGICAL="RRESP" PHYSICAL="M01_AXI_rresp"/> + <PORTMAP LOGICAL="RLAST" PHYSICAL="M01_AXI_rlast"/> + <PORTMAP LOGICAL="RVALID" PHYSICAL="M01_AXI_rvalid"/> + <PORTMAP LOGICAL="RREADY" PHYSICAL="M01_AXI_rready"/> + </PORTMAPS> + </BUSINTERFACE> </BUSINTERFACES> </MODULE> <MODULE FULLNAME="/axi_uartlite_0" HWVERSION="2.0" INSTANCE="axi_uartlite_0" IPTYPE="PERIPHERAL" IS_ENABLE="1" MODCLASS="PERIPHERAL" MODTYPE="axi_uartlite" VLNV="xilinx.com:ip:axi_uartlite:2.0"> @@ -3521,6 +3621,192 @@ </BUSINTERFACE> </BUSINTERFACES> </MODULE> + <MODULE FULLNAME="/axi_wb_i2c_master_1" HWVERSION="3.2.0" INSTANCE="axi_wb_i2c_master_1" IPTYPE="PERIPHERAL" IS_ENABLE="1" MODCLASS="PERIPHERAL" MODTYPE="axi_wb_i2c_master" VLNV="cern.ch:ip:axi_wb_i2c_master:3.2.0"> + <DOCUMENTS/> + <PARAMETERS> + <PARAMETER NAME="C_S00_AXI_DATA_WIDTH" VALUE="32"/> + <PARAMETER NAME="C_S00_AXI_ADDR_WIDTH" VALUE="32"/> + <PARAMETER NAME="Component_Name" VALUE="system_design_axi_wb_i2c_master_1_0"/> + <PARAMETER NAME="EDK_IPTYPE" VALUE="PERIPHERAL"/> + <PARAMETER NAME="C_BASEADDR" VALUE="0x43C20000"/> + <PARAMETER NAME="C_HIGHADDR" VALUE="0x43C2FFFF"/> + </PARAMETERS> + <PORTS> + <PORT DIR="I" NAME="i2c_scl_i" SIGIS="undef"/> + <PORT DIR="O" NAME="i2c_scl_o" SIGIS="undef"/> + <PORT DIR="O" NAME="i2c_scl_t" SIGIS="undef"/> + <PORT DIR="I" NAME="i2c_sda_i" SIGIS="undef"/> + <PORT DIR="O" NAME="i2c_sda_o" SIGIS="undef"/> + <PORT DIR="O" NAME="i2c_sda_t" SIGIS="undef"/> + <PORT DIR="O" NAME="axi_int_o" SIGIS="undef"/> + <PORT CLKFREQUENCY="100000000" DIR="I" NAME="s00_axi_aclk" SIGIS="clk" SIGNAME="processing_system7_0_FCLK_CLK0"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0" PORT="FCLK_CLK0"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="s00_axi_aresetn" SIGIS="rst" SIGNAME="rst_processing_system7_0_100M_peripheral_aresetn"> + <CONNECTIONS> + <CONNECTION INSTANCE="rst_processing_system7_0_100M" PORT="peripheral_aresetn"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="31" NAME="s00_axi_awaddr" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_awaddr"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_awaddr"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="2" NAME="s00_axi_awprot" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_awprot"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_awprot"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="s00_axi_awvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_awvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_awvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="s00_axi_awready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_awready"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_awready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="31" NAME="s00_axi_wdata" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_wdata"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_wdata"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="3" NAME="s00_axi_wstrb" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_wstrb"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_wstrb"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="s00_axi_wvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_wvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_wvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="s00_axi_wready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_wready"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_wready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="1" NAME="s00_axi_bresp" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_bresp"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_bresp"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="s00_axi_bvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_bvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_bvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="s00_axi_bready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_bready"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_bready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="31" NAME="s00_axi_araddr" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_araddr"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_araddr"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="2" NAME="s00_axi_arprot" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_arprot"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_arprot"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="s00_axi_arvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_arvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_arvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="s00_axi_arready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_arready"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_arready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="31" NAME="s00_axi_rdata" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_rdata"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_rdata"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="1" NAME="s00_axi_rresp" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_rresp"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_rresp"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="s00_axi_rvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_rvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_rvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="s00_axi_rready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_rready"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M03_AXI_rready"/> + </CONNECTIONS> + </PORT> + </PORTS> + <BUSINTERFACES> + <BUSINTERFACE BUSNAME="processing_system7_0_axi_periph_M03_AXI" DATAWIDTH="32" NAME="s00_axi" TYPE="SLAVE" VLNV="xilinx.com:interface:aximm:1.0"> + <PARAMETER NAME="DATA_WIDTH" VALUE="32"/> + <PARAMETER NAME="PROTOCOL" VALUE="AXI4LITE"/> + <PARAMETER NAME="FREQ_HZ" VALUE="100000000"/> + <PARAMETER NAME="ID_WIDTH" VALUE="0"/> + <PARAMETER NAME="ADDR_WIDTH" VALUE="32"/> + <PARAMETER NAME="AWUSER_WIDTH" VALUE="0"/> + <PARAMETER NAME="ARUSER_WIDTH" VALUE="0"/> + <PARAMETER NAME="WUSER_WIDTH" VALUE="0"/> + <PARAMETER NAME="RUSER_WIDTH" VALUE="0"/> + <PARAMETER NAME="BUSER_WIDTH" VALUE="0"/> + <PARAMETER NAME="READ_WRITE_MODE" VALUE="READ_WRITE"/> + <PARAMETER NAME="HAS_BURST" VALUE="0"/> + <PARAMETER NAME="HAS_LOCK" VALUE="0"/> + <PARAMETER NAME="HAS_PROT" VALUE="1"/> + <PARAMETER NAME="HAS_CACHE" VALUE="0"/> + <PARAMETER NAME="HAS_QOS" VALUE="0"/> + <PARAMETER NAME="HAS_REGION" VALUE="0"/> + <PARAMETER NAME="HAS_WSTRB" VALUE="1"/> + <PARAMETER NAME="HAS_BRESP" VALUE="1"/> + <PARAMETER NAME="HAS_RRESP" VALUE="1"/> + <PARAMETER NAME="SUPPORTS_NARROW_BURST" VALUE="0"/> + <PARAMETER NAME="NUM_READ_OUTSTANDING" VALUE="1"/> + <PARAMETER NAME="NUM_WRITE_OUTSTANDING" VALUE="1"/> + <PARAMETER NAME="MAX_BURST_LENGTH" VALUE="1"/> + <PARAMETER NAME="PHASE" VALUE="0.000"/> + <PARAMETER NAME="CLK_DOMAIN" VALUE="system_design_processing_system7_0_0_FCLK_CLK0"/> + <PORTMAPS> + <PORTMAP LOGICAL="AWADDR" PHYSICAL="s00_axi_awaddr"/> + <PORTMAP LOGICAL="AWPROT" PHYSICAL="s00_axi_awprot"/> + <PORTMAP LOGICAL="AWVALID" PHYSICAL="s00_axi_awvalid"/> + <PORTMAP LOGICAL="AWREADY" PHYSICAL="s00_axi_awready"/> + <PORTMAP LOGICAL="WDATA" PHYSICAL="s00_axi_wdata"/> + <PORTMAP LOGICAL="WSTRB" PHYSICAL="s00_axi_wstrb"/> + <PORTMAP LOGICAL="WVALID" PHYSICAL="s00_axi_wvalid"/> + <PORTMAP LOGICAL="WREADY" PHYSICAL="s00_axi_wready"/> + <PORTMAP LOGICAL="BRESP" PHYSICAL="s00_axi_bresp"/> + <PORTMAP LOGICAL="BVALID" PHYSICAL="s00_axi_bvalid"/> + <PORTMAP LOGICAL="BREADY" PHYSICAL="s00_axi_bready"/> + <PORTMAP LOGICAL="ARADDR" PHYSICAL="s00_axi_araddr"/> + <PORTMAP LOGICAL="ARPROT" PHYSICAL="s00_axi_arprot"/> + <PORTMAP LOGICAL="ARVALID" PHYSICAL="s00_axi_arvalid"/> + <PORTMAP LOGICAL="ARREADY" PHYSICAL="s00_axi_arready"/> + <PORTMAP LOGICAL="RDATA" PHYSICAL="s00_axi_rdata"/> + <PORTMAP LOGICAL="RRESP" PHYSICAL="s00_axi_rresp"/> + <PORTMAP LOGICAL="RVALID" PHYSICAL="s00_axi_rvalid"/> + <PORTMAP LOGICAL="RREADY" PHYSICAL="s00_axi_rready"/> + </PORTMAPS> + </BUSINTERFACE> + <BUSINTERFACE BUSNAME="axi_wb_i2c_master_1_i2c_master" NAME="i2c_master" TYPE="INITIATOR" VLNV="xilinx.com:interface:iic:1.0"> + <PORTMAPS> + <PORTMAP LOGICAL="SCL_I" PHYSICAL="i2c_scl_i"/> + <PORTMAP LOGICAL="SCL_O" PHYSICAL="i2c_scl_o"/> + <PORTMAP LOGICAL="SCL_T" PHYSICAL="i2c_scl_t"/> + <PORTMAP LOGICAL="SDA_O" PHYSICAL="i2c_sda_o"/> + <PORTMAP LOGICAL="SDA_I" PHYSICAL="i2c_sda_i"/> + <PORTMAP LOGICAL="SDA_T" PHYSICAL="i2c_sda_t"/> + </PORTMAPS> + </BUSINTERFACE> + </BUSINTERFACES> + </MODULE> <MODULE FULLNAME="/axi_wb_i2c_master_2" HWVERSION="3.2.0" INSTANCE="axi_wb_i2c_master_2" IPTYPE="PERIPHERAL" IS_ENABLE="1" MODCLASS="PERIPHERAL" MODTYPE="axi_wb_i2c_master" VLNV="cern.ch:ip:axi_wb_i2c_master:3.2.0"> <DOCUMENTS/> <PARAMETERS> @@ -4455,7 +4741,7 @@ <PARAMETER NAME="PCW_EN_ENET1" VALUE="0"/> <PARAMETER NAME="PCW_EN_GPIO" VALUE="1"/> <PARAMETER NAME="PCW_EN_I2C0" VALUE="1"/> - <PARAMETER NAME="PCW_EN_I2C1" VALUE="0"/> + <PARAMETER NAME="PCW_EN_I2C1" VALUE="1"/> <PARAMETER NAME="PCW_EN_PJTAG" VALUE="0"/> <PARAMETER NAME="PCW_EN_SDIO0" VALUE="1"/> <PARAMETER NAME="PCW_EN_SDIO1" VALUE="0"/> @@ -4524,7 +4810,7 @@ <PARAMETER NAME="PCW_IMPORT_BOARD_PRESET" VALUE="None"/> <PARAMETER NAME="PCW_PERIPHERAL_BOARD_PRESET" VALUE="None"/> <PARAMETER NAME="PCW_PRESET_BANK0_VOLTAGE" VALUE="LVCMOS 3.3V"/> - <PARAMETER NAME="PCW_PRESET_BANK1_VOLTAGE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_PRESET_BANK1_VOLTAGE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_UIPARAM_DDR_ENABLE" VALUE="1"/> <PARAMETER NAME="PCW_UIPARAM_DDR_ADV_ENABLE" VALUE="0"/> <PARAMETER NAME="PCW_UIPARAM_DDR_MEMORY_TYPE" VALUE="DDR 3 (Low Voltage)"/> @@ -4680,13 +4966,13 @@ <PARAMETER NAME="PCW_USB1_RESET_ENABLE" VALUE="0"/> <PARAMETER NAME="PCW_USB1_RESET_IO" VALUE="<Select>"/> <PARAMETER NAME="PCW_I2C0_PERIPHERAL_ENABLE" VALUE="1"/> - <PARAMETER NAME="PCW_I2C0_I2C0_IO" VALUE="MIO 30 .. 31"/> + <PARAMETER NAME="PCW_I2C0_I2C0_IO" VALUE="MIO 38 .. 39"/> <PARAMETER NAME="PCW_I2C0_GRP_INT_ENABLE" VALUE="0"/> <PARAMETER NAME="PCW_I2C0_GRP_INT_IO" VALUE="<Select>"/> <PARAMETER NAME="PCW_I2C0_RESET_ENABLE" VALUE="0"/> <PARAMETER NAME="PCW_I2C0_RESET_IO" VALUE="<Select>"/> - <PARAMETER NAME="PCW_I2C1_PERIPHERAL_ENABLE" VALUE="0"/> - <PARAMETER NAME="PCW_I2C1_I2C1_IO" VALUE="<Select>"/> + <PARAMETER NAME="PCW_I2C1_PERIPHERAL_ENABLE" VALUE="1"/> + <PARAMETER NAME="PCW_I2C1_I2C1_IO" VALUE="MIO 28 .. 29"/> <PARAMETER NAME="PCW_I2C1_GRP_INT_ENABLE" VALUE="0"/> <PARAMETER NAME="PCW_I2C1_GRP_INT_IO" VALUE="<Select>"/> <PARAMETER NAME="PCW_I2C_RESET_ENABLE" VALUE="1"/> @@ -4795,161 +5081,161 @@ <PARAMETER NAME="PCW_MIO_15_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_15_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_16_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_16_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_16_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_16_DIRECTION" VALUE="out"/> <PARAMETER NAME="PCW_MIO_16_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_17_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_17_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_17_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_17_DIRECTION" VALUE="out"/> <PARAMETER NAME="PCW_MIO_17_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_18_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_18_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_18_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_18_DIRECTION" VALUE="out"/> <PARAMETER NAME="PCW_MIO_18_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_19_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_19_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_19_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_19_DIRECTION" VALUE="out"/> <PARAMETER NAME="PCW_MIO_19_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_20_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_20_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_20_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_20_DIRECTION" VALUE="out"/> <PARAMETER NAME="PCW_MIO_20_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_21_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_21_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_21_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_21_DIRECTION" VALUE="out"/> <PARAMETER NAME="PCW_MIO_21_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_22_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_22_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_22_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_22_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_22_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_23_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_23_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_23_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_23_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_23_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_24_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_24_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_24_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_24_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_24_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_25_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_25_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_25_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_25_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_25_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_26_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_26_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_26_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_26_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_26_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_27_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_27_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_27_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_27_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_27_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_28_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_28_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_28_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_28_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_28_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_29_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_29_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_29_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_29_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_29_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_30_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_30_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_30_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_30_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_30_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_31_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_31_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_31_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_31_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_31_SLEW" VALUE="fast"/> <PARAMETER NAME="PCW_MIO_32_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_32_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_32_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_32_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_32_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_33_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_33_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_33_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_33_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_33_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_34_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_34_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_34_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_34_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_34_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_35_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_35_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_35_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_35_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_35_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_36_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_36_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_36_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_36_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_36_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_37_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_37_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_37_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_37_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_37_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_38_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_38_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_38_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_38_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_38_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_39_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_39_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_39_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_39_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_39_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_40_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_40_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_40_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_40_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_40_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_41_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_41_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_41_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_41_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_41_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_42_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_42_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_42_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_42_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_42_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_43_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_43_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_43_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_43_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_43_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_44_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_44_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_44_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_44_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_44_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_45_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_45_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_45_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_45_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_45_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_46_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_46_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_46_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_46_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_46_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_47_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_47_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_47_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_47_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_47_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_48_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_48_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_48_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_48_DIRECTION" VALUE="out"/> <PARAMETER NAME="PCW_MIO_48_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_49_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_49_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_49_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_49_DIRECTION" VALUE="in"/> <PARAMETER NAME="PCW_MIO_49_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_50_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_50_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_50_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_50_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_50_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_51_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_51_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_51_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_51_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_51_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_52_PULLUP" VALUE="disabled"/> - <PARAMETER NAME="PCW_MIO_52_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_52_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_52_DIRECTION" VALUE="out"/> <PARAMETER NAME="PCW_MIO_52_SLEW" VALUE="slow"/> <PARAMETER NAME="PCW_MIO_53_PULLUP" VALUE="enabled"/> - <PARAMETER NAME="PCW_MIO_53_IOTYPE" VALUE="LVCMOS 3.3V"/> + <PARAMETER NAME="PCW_MIO_53_IOTYPE" VALUE="LVCMOS 1.8V"/> <PARAMETER NAME="PCW_MIO_53_DIRECTION" VALUE="inout"/> <PARAMETER NAME="PCW_MIO_53_SLEW" VALUE="slow"/> <PARAMETER NAME="preset" VALUE="None"/> <PARAMETER NAME="PCW_UIPARAM_GENERATE_SUMMARY" VALUE="NONE"/> - <PARAMETER NAME="PCW_MIO_TREE_PERIPHERALS" VALUE="Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#GPIO#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#GPIO#GPIO#I2C 0#I2C 0#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0"/> - <PARAMETER NAME="PCW_MIO_TREE_SIGNALS" VALUE="qspi1_ss_b#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]#qspi0_sclk#gpio[7]#qspi_fbclk#qspi1_sclk#qspi1_io[0]#qspi1_io[1]#qspi1_io[2]#qspi1_io[3]#gpio[14]#gpio[15]#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#gpio[28]#gpio[29]#scl#sda#gpio[32]#gpio[33]#gpio[34]#gpio[35]#gpio[36]#gpio[37]#gpio[38]#gpio[39]#clk#cmd#data[0]#data[1]#data[2]#data[3]#cd#wp#tx#rx#gpio[50]#gpio[51]#mdc#mdio"/> + <PARAMETER NAME="PCW_MIO_TREE_PERIPHERALS" VALUE="Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#GPIO#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#I2C 1#I2C 1#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#I2C 0#I2C 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0"/> + <PARAMETER NAME="PCW_MIO_TREE_SIGNALS" VALUE="qspi1_ss_b#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]#qspi0_sclk#gpio[7]#qspi_fbclk#qspi1_sclk#qspi1_io[0]#qspi1_io[1]#qspi1_io[2]#qspi1_io[3]#gpio[14]#gpio[15]#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#scl#sda#gpio[30]#gpio[31]#gpio[32]#gpio[33]#gpio[34]#gpio[35]#gpio[36]#gpio[37]#scl#sda#clk#cmd#data[0]#data[1]#data[2]#data[3]#cd#wp#tx#rx#gpio[50]#gpio[51]#mdc#mdio"/> <PARAMETER NAME="PCW_PS7_SI_REV" VALUE="PRODUCTION"/> <PARAMETER NAME="PCW_FPGA_FCLK0_ENABLE" VALUE="1"/> <PARAMETER NAME="PCW_FPGA_FCLK1_ENABLE" VALUE="1"/> @@ -5613,6 +5899,8 @@ <CONNECTION INSTANCE="axi_uartlite_0" PORT="s_axi_aclk"/> <CONNECTION INSTANCE="axi_wb_i2c_master_0" PORT="s00_axi_aclk"/> <CONNECTION INSTANCE="axi_wb_i2c_master_2" PORT="s00_axi_aclk"/> + <CONNECTION INSTANCE="fasec_hwtest_0" PORT="ps_clk_i"/> + <CONNECTION INSTANCE="fasec_hwtest_0" PORT="s00_axi_aclk"/> <CONNECTION INSTANCE="axi_interconnect_0" PORT="ACLK"/> <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="ACLK"/> <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M06_ACLK"/> @@ -5626,8 +5914,8 @@ <CONNECTION INSTANCE="axi_interconnect_0" PORT="S00_ACLK"/> <CONNECTION INSTANCE="axi_interconnect_0" PORT="M00_ACLK"/> <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M07_ACLK"/> - <CONNECTION INSTANCE="fasec_hwtest_0" PORT="ps_clk_i"/> - <CONNECTION INSTANCE="fasec_hwtest_0" PORT="s00_axi_aclk"/> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_aclk"/> + <CONNECTION INSTANCE="axi_interconnect_1" PORT="M01_ACLK"/> </CONNECTIONS> </PORT> <PORT CLKFREQUENCY="10000000" DIR="O" NAME="FCLK_CLK1" SIGIS="clk"/> @@ -5912,6 +6200,7 @@ <MEMRANGE ADDRESSBLOCK="Reg" BASENAME="C_BASEADDR" BASEVALUE="0x42C00000" HIGHNAME="C_HIGHADDR" HIGHVALUE="0x42C0FFFF" INSTANCE="axi_uartlite_0" IS_DATA="TRUE" IS_INSTRUCTION="TRUE" MASTERBUSINTERFACE="M_AXI_GP0" MEMTYPE="REGISTER" SLAVEBUSINTERFACE="S_AXI"/> <MEMRANGE ADDRESSBLOCK="Reg" BASENAME="C_BASEADDR" BASEVALUE="0x43C00000" HIGHNAME="C_HIGHADDR" HIGHVALUE="0x43C0FFFF" INSTANCE="axi_wb_i2c_master_2" IS_DATA="TRUE" IS_INSTRUCTION="TRUE" MASTERBUSINTERFACE="M_AXI_GP0" MEMTYPE="REGISTER" SLAVEBUSINTERFACE="s00_axi"/> <MEMRANGE ADDRESSBLOCK="Reg" BASENAME="C_BASEADDR" BASEVALUE="0x43C10000" HIGHNAME="C_HIGHADDR" HIGHVALUE="0x43C1FFFF" INSTANCE="axi_wb_i2c_master_0" IS_DATA="TRUE" IS_INSTRUCTION="TRUE" MASTERBUSINTERFACE="M_AXI_GP0" MEMTYPE="REGISTER" SLAVEBUSINTERFACE="s00_axi"/> + <MEMRANGE ADDRESSBLOCK="Reg" BASENAME="C_BASEADDR" BASEVALUE="0x43C20000" HIGHNAME="C_HIGHADDR" HIGHVALUE="0x43C2FFFF" INSTANCE="axi_wb_i2c_master_1" IS_DATA="TRUE" IS_INSTRUCTION="TRUE" MASTERBUSINTERFACE="M_AXI_GP0" MEMTYPE="REGISTER" SLAVEBUSINTERFACE="s00_axi"/> <MEMRANGE ADDRESSBLOCK="S00_AXI_reg" BASENAME="C_BASEADDR" BASEVALUE="0x43C30000" HIGHNAME="C_HIGHADDR" HIGHVALUE="0x43C3FFFF" INSTANCE="fasec_hwtest_0" IS_DATA="TRUE" IS_INSTRUCTION="TRUE" MASTERBUSINTERFACE="M_AXI_GP0" MEMTYPE="REGISTER" SLAVEBUSINTERFACE="S00_AXI"/> <MEMRANGE ADDRESSBLOCK="reg0" BASENAME="C_BASEADDR" BASEVALUE="0x43C40000" HIGHNAME="C_HIGHADDR" HIGHVALUE="0x43C4FFFF" INSTANCE="xadc_axis_fifo_adapter_0" IS_DATA="TRUE" IS_INSTRUCTION="TRUE" MASTERBUSINTERFACE="M_AXI_GP0" MEMTYPE="REGISTER" SLAVEBUSINTERFACE="S_AXI"/> <MEMRANGE ADDRESSBLOCK="Reg" BASENAME="C_BASEADDR" BASEVALUE="0x43C50000" HIGHNAME="C_HIGHADDR" HIGHVALUE="0x43C5FFFF" INSTANCE="xadc_wiz_0" IS_DATA="TRUE" IS_INSTRUCTION="TRUE" MASTERBUSINTERFACE="M_AXI_GP0" MEMTYPE="REGISTER" SLAVEBUSINTERFACE="s_axi_lite"/> @@ -5922,6 +6211,7 @@ <PERIPHERAL INSTANCE="axi_uartlite_0"/> <PERIPHERAL INSTANCE="axi_wb_i2c_master_2"/> <PERIPHERAL INSTANCE="axi_wb_i2c_master_0"/> + <PERIPHERAL INSTANCE="axi_wb_i2c_master_1"/> <PERIPHERAL INSTANCE="fasec_hwtest_0"/> <PERIPHERAL INSTANCE="xadc_axis_fifo_adapter_0"/> <PERIPHERAL INSTANCE="xadc_wiz_0"/> @@ -7161,25 +7451,101 @@ <CONNECTION INSTANCE="axi_uartlite_0" PORT="s_axi_wvalid"/> </CONNECTIONS> </PORT> - <PORT DIR="O" NAME="M03_AXI_awaddr" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_awprot" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_awvalid" SIGIS="undef"/> - <PORT DIR="I" NAME="M03_AXI_awready" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_wdata" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_wstrb" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_wvalid" SIGIS="undef"/> - <PORT DIR="I" NAME="M03_AXI_wready" SIGIS="undef"/> - <PORT DIR="I" NAME="M03_AXI_bresp" SIGIS="undef"/> - <PORT DIR="I" NAME="M03_AXI_bvalid" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_bready" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_araddr" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_arprot" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_arvalid" SIGIS="undef"/> - <PORT DIR="I" NAME="M03_AXI_arready" SIGIS="undef"/> - <PORT DIR="I" NAME="M03_AXI_rdata" SIGIS="undef"/> - <PORT DIR="I" NAME="M03_AXI_rresp" SIGIS="undef"/> - <PORT DIR="I" NAME="M03_AXI_rvalid" SIGIS="undef"/> - <PORT DIR="O" NAME="M03_AXI_rready" SIGIS="undef"/> + <PORT DIR="O" LEFT="31" NAME="M03_AXI_awaddr" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_awaddr"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_awaddr"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="2" NAME="M03_AXI_awprot" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_awprot"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_awprot"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="M03_AXI_awvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_awvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_awvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="M03_AXI_awready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_awready"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_awready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="31" NAME="M03_AXI_wdata" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_wdata"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_wdata"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="3" NAME="M03_AXI_wstrb" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_wstrb"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_wstrb"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="M03_AXI_wvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_wvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_wvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="M03_AXI_wready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_wready"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_wready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="1" NAME="M03_AXI_bresp" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_bresp"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_bresp"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="M03_AXI_bvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_bvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_bvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="M03_AXI_bready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_bready"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_bready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="31" NAME="M03_AXI_araddr" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_araddr"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_araddr"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="2" NAME="M03_AXI_arprot" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_arprot"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_arprot"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="M03_AXI_arvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_arvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_arvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="M03_AXI_arready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_arready"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_arready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="31" NAME="M03_AXI_rdata" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_rdata"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_rdata"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="1" NAME="M03_AXI_rresp" RIGHT="0" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_rresp"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_rresp"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="M03_AXI_rvalid" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_rvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_rvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="M03_AXI_rready" SIGIS="undef" SIGNAME="axi_wb_i2c_master_1_s00_axi_rready"> + <CONNECTIONS> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_rready"/> + </CONNECTIONS> + </PORT> </PORTS> <BUSINTERFACES> <BUSINTERFACE BUSNAME="processing_system7_0_M_AXI_GP0" DATAWIDTH="32" NAME="S00_AXI" TYPE="SLAVE" VLNV="xilinx.com:interface:aximm:1.0"> @@ -7293,7 +7659,7 @@ <PORTMAP LOGICAL="RDATA" PHYSICAL="M02_AXI_rdata"/> </PORTMAPS> </BUSINTERFACE> - <BUSINTERFACE BUSNAME="__NOC__" NAME="M03_AXI" TYPE="MASTER" VLNV="xilinx.com:interface:aximm:1.0"> + <BUSINTERFACE BUSNAME="processing_system7_0_axi_periph_M03_AXI" DATAWIDTH="32" NAME="M03_AXI" TYPE="MASTER" VLNV="xilinx.com:interface:aximm:1.0"> <PORTMAPS> <PORTMAP LOGICAL="AWADDR" PHYSICAL="M03_AXI_awaddr"/> <PORTMAP LOGICAL="AWPROT" PHYSICAL="M03_AXI_awprot"/> @@ -7451,6 +7817,7 @@ <CONNECTION INSTANCE="axi_uartlite_0" PORT="s_axi_aresetn"/> <CONNECTION INSTANCE="axi_wb_i2c_master_0" PORT="s00_axi_aresetn"/> <CONNECTION INSTANCE="axi_wb_i2c_master_2" PORT="s00_axi_aresetn"/> + <CONNECTION INSTANCE="fasec_hwtest_0" PORT="s00_axi_aresetn"/> <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="S00_ARESETN"/> <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M06_ARESETN"/> <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M05_ARESETN"/> @@ -7463,7 +7830,8 @@ <CONNECTION INSTANCE="axi_interconnect_0" PORT="ARESETN"/> <CONNECTION INSTANCE="axi_interconnect_0" PORT="S00_ARESETN"/> <CONNECTION INSTANCE="processing_system7_0_axi_periph" PORT="M07_ARESETN"/> - <CONNECTION INSTANCE="fasec_hwtest_0" PORT="s00_axi_aresetn"/> + <CONNECTION INSTANCE="axi_wb_i2c_master_1" PORT="s00_axi_aresetn"/> + <CONNECTION INSTANCE="axi_interconnect_1" PORT="M01_ARESETN"/> </CONNECTIONS> </PORT> </PORTS> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design_bd.tcl b/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design_bd.tcl index edd59a9c88b1fd7b2808bef38c0407d26a179458..2a119c1a701cbeff4aefd7fbd70e09c77ee953ce 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design_bd.tcl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design_bd.tcl @@ -164,6 +164,7 @@ proc create_root_design { parentCell } { set Vp_Vn [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 Vp_Vn ] set gtp_wr [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:sfp_rtl:1.0 gtp_wr ] set i2c_master_fmcx [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:iic_rtl:1.0 i2c_master_fmcx ] + set i2c_master_mdio [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:iic_rtl:1.0 i2c_master_mdio ] # Create ports set FMC1_CLK0C2M_N_o [ create_bd_port -dir O FMC1_CLK0C2M_N_o ] @@ -224,7 +225,7 @@ CONFIG.NUM_MI {1} \ # Create instance: axi_interconnect_1, and set properties set axi_interconnect_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_1 ] set_property -dict [ list \ -CONFIG.NUM_MI {1} \ +CONFIG.NUM_MI {2} \ ] $axi_interconnect_1 # Create instance: axi_uartlite_0, and set properties @@ -242,6 +243,9 @@ CONFIG.C_S_AXI_ACLK_FREQ_HZ.VALUE_SRC {DEFAULT} \ # Create instance: axi_wb_i2c_master_0, and set properties set axi_wb_i2c_master_0 [ create_bd_cell -type ip -vlnv cern.ch:ip:axi_wb_i2c_master:3.2.0 axi_wb_i2c_master_0 ] + # Create instance: axi_wb_i2c_master_1, and set properties + set axi_wb_i2c_master_1 [ create_bd_cell -type ip -vlnv cern.ch:ip:axi_wb_i2c_master:3.2.0 axi_wb_i2c_master_1 ] + # Create instance: axi_wb_i2c_master_2, and set properties set axi_wb_i2c_master_2 [ create_bd_cell -type ip -vlnv cern.ch:ip:axi_wb_i2c_master:3.2.0 axi_wb_i2c_master_2 ] @@ -351,6 +355,7 @@ CONFIG.PCW_EN_CLK3_PORT {0} \ CONFIG.PCW_EN_EMIO_TTC0 {1} \ CONFIG.PCW_EN_ENET0 {1} \ CONFIG.PCW_EN_I2C0 {1} \ +CONFIG.PCW_EN_I2C1 {1} \ CONFIG.PCW_EN_QSPI {1} \ CONFIG.PCW_EN_SDIO0 {1} \ CONFIG.PCW_EN_TTC0 {1} \ @@ -382,14 +387,14 @@ CONFIG.PCW_GPIO_MIO_GPIO_IO {MIO} \ CONFIG.PCW_GPIO_PERIPHERAL_ENABLE {0} \ CONFIG.PCW_I2C0_GRP_INT_ENABLE {0} \ CONFIG.PCW_I2C0_GRP_INT_IO {<Select>} \ -CONFIG.PCW_I2C0_I2C0_IO {MIO 30 .. 31} \ +CONFIG.PCW_I2C0_I2C0_IO {MIO 38 .. 39} \ CONFIG.PCW_I2C0_PERIPHERAL_ENABLE {1} \ CONFIG.PCW_I2C0_RESET_ENABLE {0} \ CONFIG.PCW_I2C0_RESET_IO {<Select>} \ CONFIG.PCW_I2C1_GRP_INT_ENABLE {0} \ CONFIG.PCW_I2C1_GRP_INT_IO {<Select>} \ -CONFIG.PCW_I2C1_I2C1_IO {<Select>} \ -CONFIG.PCW_I2C1_PERIPHERAL_ENABLE {0} \ +CONFIG.PCW_I2C1_I2C1_IO {MIO 28 .. 29} \ +CONFIG.PCW_I2C1_PERIPHERAL_ENABLE {1} \ CONFIG.PCW_I2C1_RESET_ENABLE {0} \ CONFIG.PCW_I2C1_RESET_IO {<Select>} \ CONFIG.PCW_I2C_PERIPHERAL_FREQMHZ {111.111115} \ @@ -428,19 +433,19 @@ CONFIG.PCW_MIO_15_IOTYPE {LVCMOS 3.3V} \ CONFIG.PCW_MIO_15_PULLUP {enabled} \ CONFIG.PCW_MIO_15_SLEW {slow} \ CONFIG.PCW_MIO_16_DIRECTION {out} \ -CONFIG.PCW_MIO_16_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_16_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_16_PULLUP {disabled} \ CONFIG.PCW_MIO_16_SLEW {fast} \ CONFIG.PCW_MIO_17_DIRECTION {out} \ -CONFIG.PCW_MIO_17_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_17_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_17_PULLUP {disabled} \ CONFIG.PCW_MIO_17_SLEW {fast} \ CONFIG.PCW_MIO_18_DIRECTION {out} \ -CONFIG.PCW_MIO_18_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_18_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_18_PULLUP {disabled} \ CONFIG.PCW_MIO_18_SLEW {fast} \ CONFIG.PCW_MIO_19_DIRECTION {out} \ -CONFIG.PCW_MIO_19_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_19_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_19_PULLUP {disabled} \ CONFIG.PCW_MIO_19_SLEW {fast} \ CONFIG.PCW_MIO_1_DIRECTION {out} \ @@ -448,43 +453,43 @@ CONFIG.PCW_MIO_1_IOTYPE {LVCMOS 3.3V} \ CONFIG.PCW_MIO_1_PULLUP {disabled} \ CONFIG.PCW_MIO_1_SLEW {slow} \ CONFIG.PCW_MIO_20_DIRECTION {out} \ -CONFIG.PCW_MIO_20_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_20_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_20_PULLUP {disabled} \ CONFIG.PCW_MIO_20_SLEW {fast} \ CONFIG.PCW_MIO_21_DIRECTION {out} \ -CONFIG.PCW_MIO_21_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_21_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_21_PULLUP {disabled} \ CONFIG.PCW_MIO_21_SLEW {fast} \ CONFIG.PCW_MIO_22_DIRECTION {in} \ -CONFIG.PCW_MIO_22_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_22_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_22_PULLUP {disabled} \ CONFIG.PCW_MIO_22_SLEW {fast} \ CONFIG.PCW_MIO_23_DIRECTION {in} \ -CONFIG.PCW_MIO_23_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_23_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_23_PULLUP {disabled} \ CONFIG.PCW_MIO_23_SLEW {fast} \ CONFIG.PCW_MIO_24_DIRECTION {in} \ -CONFIG.PCW_MIO_24_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_24_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_24_PULLUP {disabled} \ CONFIG.PCW_MIO_24_SLEW {fast} \ CONFIG.PCW_MIO_25_DIRECTION {in} \ -CONFIG.PCW_MIO_25_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_25_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_25_PULLUP {disabled} \ CONFIG.PCW_MIO_25_SLEW {fast} \ CONFIG.PCW_MIO_26_DIRECTION {in} \ -CONFIG.PCW_MIO_26_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_26_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_26_PULLUP {disabled} \ CONFIG.PCW_MIO_26_SLEW {fast} \ CONFIG.PCW_MIO_27_DIRECTION {in} \ -CONFIG.PCW_MIO_27_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_27_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_27_PULLUP {disabled} \ CONFIG.PCW_MIO_27_SLEW {fast} \ CONFIG.PCW_MIO_28_DIRECTION {inout} \ -CONFIG.PCW_MIO_28_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_28_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_28_PULLUP {enabled} \ CONFIG.PCW_MIO_28_SLEW {slow} \ CONFIG.PCW_MIO_29_DIRECTION {inout} \ -CONFIG.PCW_MIO_29_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_29_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_29_PULLUP {enabled} \ CONFIG.PCW_MIO_29_SLEW {slow} \ CONFIG.PCW_MIO_2_DIRECTION {inout} \ @@ -492,43 +497,43 @@ CONFIG.PCW_MIO_2_IOTYPE {LVCMOS 3.3V} \ CONFIG.PCW_MIO_2_PULLUP {disabled} \ CONFIG.PCW_MIO_2_SLEW {slow} \ CONFIG.PCW_MIO_30_DIRECTION {inout} \ -CONFIG.PCW_MIO_30_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_30_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_30_PULLUP {enabled} \ CONFIG.PCW_MIO_30_SLEW {fast} \ CONFIG.PCW_MIO_31_DIRECTION {inout} \ -CONFIG.PCW_MIO_31_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_31_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_31_PULLUP {enabled} \ CONFIG.PCW_MIO_31_SLEW {fast} \ CONFIG.PCW_MIO_32_DIRECTION {inout} \ -CONFIG.PCW_MIO_32_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_32_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_32_PULLUP {enabled} \ CONFIG.PCW_MIO_32_SLEW {slow} \ CONFIG.PCW_MIO_33_DIRECTION {inout} \ -CONFIG.PCW_MIO_33_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_33_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_33_PULLUP {enabled} \ CONFIG.PCW_MIO_33_SLEW {slow} \ CONFIG.PCW_MIO_34_DIRECTION {inout} \ -CONFIG.PCW_MIO_34_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_34_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_34_PULLUP {enabled} \ CONFIG.PCW_MIO_34_SLEW {slow} \ CONFIG.PCW_MIO_35_DIRECTION {inout} \ -CONFIG.PCW_MIO_35_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_35_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_35_PULLUP {enabled} \ CONFIG.PCW_MIO_35_SLEW {slow} \ CONFIG.PCW_MIO_36_DIRECTION {inout} \ -CONFIG.PCW_MIO_36_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_36_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_36_PULLUP {enabled} \ CONFIG.PCW_MIO_36_SLEW {slow} \ CONFIG.PCW_MIO_37_DIRECTION {inout} \ -CONFIG.PCW_MIO_37_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_37_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_37_PULLUP {enabled} \ CONFIG.PCW_MIO_37_SLEW {slow} \ CONFIG.PCW_MIO_38_DIRECTION {inout} \ -CONFIG.PCW_MIO_38_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_38_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_38_PULLUP {enabled} \ CONFIG.PCW_MIO_38_SLEW {slow} \ CONFIG.PCW_MIO_39_DIRECTION {inout} \ -CONFIG.PCW_MIO_39_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_39_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_39_PULLUP {enabled} \ CONFIG.PCW_MIO_39_SLEW {slow} \ CONFIG.PCW_MIO_3_DIRECTION {inout} \ @@ -536,43 +541,43 @@ CONFIG.PCW_MIO_3_IOTYPE {LVCMOS 3.3V} \ CONFIG.PCW_MIO_3_PULLUP {disabled} \ CONFIG.PCW_MIO_3_SLEW {slow} \ CONFIG.PCW_MIO_40_DIRECTION {inout} \ -CONFIG.PCW_MIO_40_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_40_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_40_PULLUP {disabled} \ CONFIG.PCW_MIO_40_SLEW {slow} \ CONFIG.PCW_MIO_41_DIRECTION {inout} \ -CONFIG.PCW_MIO_41_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_41_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_41_PULLUP {disabled} \ CONFIG.PCW_MIO_41_SLEW {slow} \ CONFIG.PCW_MIO_42_DIRECTION {inout} \ -CONFIG.PCW_MIO_42_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_42_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_42_PULLUP {disabled} \ CONFIG.PCW_MIO_42_SLEW {slow} \ CONFIG.PCW_MIO_43_DIRECTION {inout} \ -CONFIG.PCW_MIO_43_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_43_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_43_PULLUP {disabled} \ CONFIG.PCW_MIO_43_SLEW {slow} \ CONFIG.PCW_MIO_44_DIRECTION {inout} \ -CONFIG.PCW_MIO_44_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_44_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_44_PULLUP {disabled} \ CONFIG.PCW_MIO_44_SLEW {slow} \ CONFIG.PCW_MIO_45_DIRECTION {inout} \ -CONFIG.PCW_MIO_45_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_45_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_45_PULLUP {disabled} \ CONFIG.PCW_MIO_45_SLEW {slow} \ CONFIG.PCW_MIO_46_DIRECTION {in} \ -CONFIG.PCW_MIO_46_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_46_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_46_PULLUP {enabled} \ CONFIG.PCW_MIO_46_SLEW {slow} \ CONFIG.PCW_MIO_47_DIRECTION {in} \ -CONFIG.PCW_MIO_47_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_47_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_47_PULLUP {enabled} \ CONFIG.PCW_MIO_47_SLEW {slow} \ CONFIG.PCW_MIO_48_DIRECTION {out} \ -CONFIG.PCW_MIO_48_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_48_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_48_PULLUP {disabled} \ CONFIG.PCW_MIO_48_SLEW {slow} \ CONFIG.PCW_MIO_49_DIRECTION {in} \ -CONFIG.PCW_MIO_49_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_49_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_49_PULLUP {disabled} \ CONFIG.PCW_MIO_49_SLEW {slow} \ CONFIG.PCW_MIO_4_DIRECTION {inout} \ @@ -580,19 +585,19 @@ CONFIG.PCW_MIO_4_IOTYPE {LVCMOS 3.3V} \ CONFIG.PCW_MIO_4_PULLUP {disabled} \ CONFIG.PCW_MIO_4_SLEW {slow} \ CONFIG.PCW_MIO_50_DIRECTION {inout} \ -CONFIG.PCW_MIO_50_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_50_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_50_PULLUP {enabled} \ CONFIG.PCW_MIO_50_SLEW {slow} \ CONFIG.PCW_MIO_51_DIRECTION {inout} \ -CONFIG.PCW_MIO_51_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_51_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_51_PULLUP {enabled} \ CONFIG.PCW_MIO_51_SLEW {slow} \ CONFIG.PCW_MIO_52_DIRECTION {out} \ -CONFIG.PCW_MIO_52_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_52_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_52_PULLUP {disabled} \ CONFIG.PCW_MIO_52_SLEW {slow} \ CONFIG.PCW_MIO_53_DIRECTION {inout} \ -CONFIG.PCW_MIO_53_IOTYPE {LVCMOS 3.3V} \ +CONFIG.PCW_MIO_53_IOTYPE {LVCMOS 1.8V} \ CONFIG.PCW_MIO_53_PULLUP {enabled} \ CONFIG.PCW_MIO_53_SLEW {slow} \ CONFIG.PCW_MIO_5_DIRECTION {inout} \ @@ -615,8 +620,8 @@ CONFIG.PCW_MIO_9_DIRECTION {out} \ CONFIG.PCW_MIO_9_IOTYPE {LVCMOS 3.3V} \ CONFIG.PCW_MIO_9_PULLUP {disabled} \ CONFIG.PCW_MIO_9_SLEW {slow} \ -CONFIG.PCW_MIO_TREE_PERIPHERALS {Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#GPIO#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#GPIO#GPIO#I2C 0#I2C 0#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0} \ -CONFIG.PCW_MIO_TREE_SIGNALS {qspi1_ss_b#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]#qspi0_sclk#gpio[7]#qspi_fbclk#qspi1_sclk#qspi1_io[0]#qspi1_io[1]#qspi1_io[2]#qspi1_io[3]#gpio[14]#gpio[15]#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#gpio[28]#gpio[29]#scl#sda#gpio[32]#gpio[33]#gpio[34]#gpio[35]#gpio[36]#gpio[37]#gpio[38]#gpio[39]#clk#cmd#data[0]#data[1]#data[2]#data[3]#cd#wp#tx#rx#gpio[50]#gpio[51]#mdc#mdio} \ +CONFIG.PCW_MIO_TREE_PERIPHERALS {Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#GPIO#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#I2C 1#I2C 1#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#I2C 0#I2C 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0} \ +CONFIG.PCW_MIO_TREE_SIGNALS {qspi1_ss_b#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]#qspi0_sclk#gpio[7]#qspi_fbclk#qspi1_sclk#qspi1_io[0]#qspi1_io[1]#qspi1_io[2]#qspi1_io[3]#gpio[14]#gpio[15]#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#scl#sda#gpio[30]#gpio[31]#gpio[32]#gpio[33]#gpio[34]#gpio[35]#gpio[36]#gpio[37]#scl#sda#clk#cmd#data[0]#data[1]#data[2]#data[3]#cd#wp#tx#rx#gpio[50]#gpio[51]#mdc#mdio} \ CONFIG.PCW_NAND_CYCLES_T_AR {1} \ CONFIG.PCW_NAND_CYCLES_T_CLR {1} \ CONFIG.PCW_NAND_CYCLES_T_RC {11} \ @@ -685,7 +690,7 @@ CONFIG.PCW_PJTAG_PERIPHERAL_ENABLE {0} \ CONFIG.PCW_PJTAG_PJTAG_IO {<Select>} \ CONFIG.PCW_PLL_BYPASSMODE_ENABLE {0} \ CONFIG.PCW_PRESET_BANK0_VOLTAGE {LVCMOS 3.3V} \ -CONFIG.PCW_PRESET_BANK1_VOLTAGE {LVCMOS 3.3V} \ +CONFIG.PCW_PRESET_BANK1_VOLTAGE {LVCMOS 1.8V} \ CONFIG.PCW_QSPI_GRP_FBCLK_ENABLE {1} \ CONFIG.PCW_QSPI_GRP_FBCLK_IO {MIO 8} \ CONFIG.PCW_QSPI_GRP_IO1_ENABLE {1} \ @@ -987,6 +992,7 @@ CONFIG.PCW_EN_4K_TIMER.VALUE_SRC {DEFAULT} \ CONFIG.PCW_EN_EMIO_TTC0.VALUE_SRC {DEFAULT} \ CONFIG.PCW_EN_ENET0.VALUE_SRC {DEFAULT} \ CONFIG.PCW_EN_I2C0.VALUE_SRC {DEFAULT} \ +CONFIG.PCW_EN_I2C1.VALUE_SRC {DEFAULT} \ CONFIG.PCW_EN_QSPI.VALUE_SRC {DEFAULT} \ CONFIG.PCW_EN_SDIO0.VALUE_SRC {DEFAULT} \ CONFIG.PCW_EN_TTC0.VALUE_SRC {DEFAULT} \ @@ -1545,6 +1551,7 @@ CONFIG.CONST_WIDTH {1} \ connect_bd_intf_net -intf_net axi_dma_0_M_AXI_S2MM [get_bd_intf_pins axi_dma_0/M_AXI_S2MM] [get_bd_intf_pins axi_interconnect_0/S00_AXI] connect_bd_intf_net -intf_net axi_interconnect_0_M00_AXI [get_bd_intf_pins axi_interconnect_0/M00_AXI] [get_bd_intf_pins processing_system7_0/S_AXI_GP0] connect_bd_intf_net -intf_net axi_interconnect_1_M00_AXI [get_bd_intf_pins axi_interconnect_1/M00_AXI] [get_bd_intf_pins wrc_1p_kintex7_0/s00_axi] + connect_bd_intf_net -intf_net axi_wb_i2c_master_1_i2c_master [get_bd_intf_ports i2c_master_mdio] [get_bd_intf_pins axi_wb_i2c_master_1/i2c_master] connect_bd_intf_net -intf_net axi_wb_i2c_master_2_i2c_master [get_bd_intf_ports i2c_master_fmcx] [get_bd_intf_pins axi_wb_i2c_master_2/i2c_master] connect_bd_intf_net -intf_net processing_system7_0_DDR [get_bd_intf_ports DDR] [get_bd_intf_pins processing_system7_0/DDR] connect_bd_intf_net -intf_net processing_system7_0_FIXED_IO [get_bd_intf_ports FIXED_IO] [get_bd_intf_pins processing_system7_0/FIXED_IO] @@ -1552,6 +1559,7 @@ CONFIG.CONST_WIDTH {1} \ connect_bd_intf_net -intf_net processing_system7_0_axi_periph_M00_AXI [get_bd_intf_pins axi_wb_i2c_master_2/s00_axi] [get_bd_intf_pins processing_system7_0_axi_periph/M00_AXI] connect_bd_intf_net -intf_net processing_system7_0_axi_periph_M01_AXI [get_bd_intf_pins axi_wb_i2c_master_0/s00_axi] [get_bd_intf_pins processing_system7_0_axi_periph/M01_AXI] connect_bd_intf_net -intf_net processing_system7_0_axi_periph_M02_AXI [get_bd_intf_pins fasec_hwtest_0/S00_AXI] [get_bd_intf_pins processing_system7_0_axi_periph/M02_AXI] + connect_bd_intf_net -intf_net processing_system7_0_axi_periph_M03_AXI [get_bd_intf_pins axi_wb_i2c_master_1/s00_axi] [get_bd_intf_pins processing_system7_0_axi_periph/M03_AXI] connect_bd_intf_net -intf_net processing_system7_0_axi_periph_M04_AXI [get_bd_intf_pins processing_system7_0_axi_periph/M04_AXI] [get_bd_intf_pins xadc_wiz_0/s_axi_lite] connect_bd_intf_net -intf_net processing_system7_0_axi_periph_M05_AXI [get_bd_intf_pins processing_system7_0_axi_periph/M05_AXI] [get_bd_intf_pins xadc_axis_fifo_adapter_0/S_AXI] connect_bd_intf_net -intf_net processing_system7_0_axi_periph_M06_AXI [get_bd_intf_pins axi_dma_0/S_AXI_LITE] [get_bd_intf_pins processing_system7_0_axi_periph/M06_AXI] @@ -1602,10 +1610,10 @@ CONFIG.CONST_WIDTH {1} \ connect_bd_net -net gtp_dedicated_clk_p_i_1 [get_bd_ports gtp_dedicated_clk_p_i] [get_bd_pins wrc_1p_kintex7_0/gtp_dedicated_clk_p_i] connect_bd_net -net osc100_clk_i_1 [get_bd_ports osc100_clk_i] [get_bd_pins fasec_hwtest_0/osc100_clk_i] connect_bd_net -net pb_gp_i_1 [get_bd_ports pb_gp_i] [get_bd_pins fasec_hwtest_0/pb_gp_n_i] [get_bd_pins wrc_1p_kintex7_0/button_rst_n_i] - connect_bd_net -net processing_system7_0_FCLK_CLK0 [get_bd_pins axi_dma_0/m_axi_s2mm_aclk] [get_bd_pins axi_dma_0/s_axi_lite_aclk] [get_bd_pins axi_interconnect_0/ACLK] [get_bd_pins axi_interconnect_0/M00_ACLK] [get_bd_pins axi_interconnect_0/S00_ACLK] [get_bd_pins axi_uartlite_0/s_axi_aclk] [get_bd_pins axi_wb_i2c_master_0/s00_axi_aclk] [get_bd_pins axi_wb_i2c_master_2/s00_axi_aclk] [get_bd_pins fasec_hwtest_0/ps_clk_i] [get_bd_pins fasec_hwtest_0/s00_axi_aclk] [get_bd_pins processing_system7_0/FCLK_CLK0] [get_bd_pins processing_system7_0/M_AXI_GP0_ACLK] [get_bd_pins processing_system7_0/S_AXI_GP0_ACLK] [get_bd_pins processing_system7_0_axi_periph/ACLK] [get_bd_pins processing_system7_0_axi_periph/M00_ACLK] [get_bd_pins processing_system7_0_axi_periph/M01_ACLK] [get_bd_pins processing_system7_0_axi_periph/M02_ACLK] [get_bd_pins processing_system7_0_axi_periph/M03_ACLK] [get_bd_pins processing_system7_0_axi_periph/M04_ACLK] [get_bd_pins processing_system7_0_axi_periph/M05_ACLK] [get_bd_pins processing_system7_0_axi_periph/M06_ACLK] [get_bd_pins processing_system7_0_axi_periph/M07_ACLK] [get_bd_pins processing_system7_0_axi_periph/S00_ACLK] [get_bd_pins rst_processing_system7_0_100M/slowest_sync_clk] [get_bd_pins xadc_axis_fifo_adapter_0/M_AXIS_ACLK] [get_bd_pins xadc_axis_fifo_adapter_0/S_AXIS_ACLK] [get_bd_pins xadc_axis_fifo_adapter_0/S_AXI_ACLK] [get_bd_pins xadc_wiz_0/s_axi_aclk] [get_bd_pins xadc_wiz_0/s_axis_aclk] + connect_bd_net -net processing_system7_0_FCLK_CLK0 [get_bd_pins axi_dma_0/m_axi_s2mm_aclk] [get_bd_pins axi_dma_0/s_axi_lite_aclk] [get_bd_pins axi_interconnect_0/ACLK] [get_bd_pins axi_interconnect_0/M00_ACLK] [get_bd_pins axi_interconnect_0/S00_ACLK] [get_bd_pins axi_interconnect_1/M01_ACLK] [get_bd_pins axi_uartlite_0/s_axi_aclk] [get_bd_pins axi_wb_i2c_master_0/s00_axi_aclk] [get_bd_pins axi_wb_i2c_master_1/s00_axi_aclk] [get_bd_pins axi_wb_i2c_master_2/s00_axi_aclk] [get_bd_pins fasec_hwtest_0/ps_clk_i] [get_bd_pins fasec_hwtest_0/s00_axi_aclk] [get_bd_pins processing_system7_0/FCLK_CLK0] [get_bd_pins processing_system7_0/M_AXI_GP0_ACLK] [get_bd_pins processing_system7_0/S_AXI_GP0_ACLK] [get_bd_pins processing_system7_0_axi_periph/ACLK] [get_bd_pins processing_system7_0_axi_periph/M00_ACLK] [get_bd_pins processing_system7_0_axi_periph/M01_ACLK] [get_bd_pins processing_system7_0_axi_periph/M02_ACLK] [get_bd_pins processing_system7_0_axi_periph/M03_ACLK] [get_bd_pins processing_system7_0_axi_periph/M04_ACLK] [get_bd_pins processing_system7_0_axi_periph/M05_ACLK] [get_bd_pins processing_system7_0_axi_periph/M06_ACLK] [get_bd_pins processing_system7_0_axi_periph/M07_ACLK] [get_bd_pins processing_system7_0_axi_periph/S00_ACLK] [get_bd_pins rst_processing_system7_0_100M/slowest_sync_clk] [get_bd_pins xadc_axis_fifo_adapter_0/M_AXIS_ACLK] [get_bd_pins xadc_axis_fifo_adapter_0/S_AXIS_ACLK] [get_bd_pins xadc_axis_fifo_adapter_0/S_AXI_ACLK] [get_bd_pins xadc_wiz_0/s_axi_aclk] [get_bd_pins xadc_wiz_0/s_axis_aclk] connect_bd_net -net processing_system7_0_FCLK_RESET0_N [get_bd_pins processing_system7_0/FCLK_RESET0_N] [get_bd_pins rst_processing_system7_0_100M/ext_reset_in] [get_bd_pins rst_wrc_1p_kintex7_0_62M/ext_reset_in] connect_bd_net -net rst_processing_system7_0_100M_interconnect_aresetn [get_bd_pins processing_system7_0_axi_periph/ARESETN] [get_bd_pins rst_processing_system7_0_100M/interconnect_aresetn] - connect_bd_net -net rst_processing_system7_0_100M_peripheral_aresetn [get_bd_pins axi_dma_0/axi_resetn] [get_bd_pins axi_interconnect_0/ARESETN] [get_bd_pins axi_interconnect_0/M00_ARESETN] [get_bd_pins axi_interconnect_0/S00_ARESETN] [get_bd_pins axi_uartlite_0/s_axi_aresetn] [get_bd_pins axi_wb_i2c_master_0/s00_axi_aresetn] [get_bd_pins axi_wb_i2c_master_2/s00_axi_aresetn] [get_bd_pins fasec_hwtest_0/s00_axi_aresetn] [get_bd_pins processing_system7_0_axi_periph/M00_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M01_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M02_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M03_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M04_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M05_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M06_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M07_ARESETN] [get_bd_pins processing_system7_0_axi_periph/S00_ARESETN] [get_bd_pins rst_processing_system7_0_100M/peripheral_aresetn] [get_bd_pins xadc_axis_fifo_adapter_0/AXIS_RESET_N] [get_bd_pins xadc_axis_fifo_adapter_0/S_AXI_ARESETN] [get_bd_pins xadc_wiz_0/s_axi_aresetn] + connect_bd_net -net rst_processing_system7_0_100M_peripheral_aresetn [get_bd_pins axi_dma_0/axi_resetn] [get_bd_pins axi_interconnect_0/ARESETN] [get_bd_pins axi_interconnect_0/M00_ARESETN] [get_bd_pins axi_interconnect_0/S00_ARESETN] [get_bd_pins axi_interconnect_1/M01_ARESETN] [get_bd_pins axi_uartlite_0/s_axi_aresetn] [get_bd_pins axi_wb_i2c_master_0/s00_axi_aresetn] [get_bd_pins axi_wb_i2c_master_1/s00_axi_aresetn] [get_bd_pins axi_wb_i2c_master_2/s00_axi_aresetn] [get_bd_pins fasec_hwtest_0/s00_axi_aresetn] [get_bd_pins processing_system7_0_axi_periph/M00_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M01_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M02_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M03_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M04_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M05_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M06_ARESETN] [get_bd_pins processing_system7_0_axi_periph/M07_ARESETN] [get_bd_pins processing_system7_0_axi_periph/S00_ARESETN] [get_bd_pins rst_processing_system7_0_100M/peripheral_aresetn] [get_bd_pins xadc_axis_fifo_adapter_0/AXIS_RESET_N] [get_bd_pins xadc_axis_fifo_adapter_0/S_AXI_ARESETN] [get_bd_pins xadc_wiz_0/s_axi_aresetn] connect_bd_net -net rst_wrc_1p_kintex7_0_62M_interconnect_aresetn [get_bd_pins axi_interconnect_1/ARESETN] [get_bd_pins rst_wrc_1p_kintex7_0_62M/interconnect_aresetn] connect_bd_net -net rst_wrc_1p_kintex7_0_62M_peripheral_aresetn [get_bd_pins axi_interconnect_1/M00_ARESETN] [get_bd_pins axi_interconnect_1/S00_ARESETN] [get_bd_pins rst_wrc_1p_kintex7_0_62M/peripheral_aresetn] [get_bd_pins wrc_1p_kintex7_0/s00_axi_aresetn] connect_bd_net -net wrc_1p_kintex7_0_clk_ref_o [get_bd_pins fasec_hwtest_0/FMC1_GP0_i] [get_bd_pins wrc_1p_kintex7_0/clk_ref_o] @@ -1632,6 +1640,7 @@ CONFIG.CONST_WIDTH {1} \ create_bd_addr_seg -range 0x00010000 -offset 0x40400000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_dma_0/S_AXI_LITE/Reg] SEG_axi_dma_0_Reg create_bd_addr_seg -range 0x00010000 -offset 0x42C00000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_uartlite_0/S_AXI/Reg] SEG_axi_uartlite_0_Reg create_bd_addr_seg -range 0x00010000 -offset 0x43C10000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_wb_i2c_master_0/s00_axi/Reg] SEG_axi_wb_i2c_master_0_Reg + create_bd_addr_seg -range 0x00010000 -offset 0x43C20000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_wb_i2c_master_1/s00_axi/Reg] SEG_axi_wb_i2c_master_1_Reg create_bd_addr_seg -range 0x00010000 -offset 0x43C00000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_wb_i2c_master_2/s00_axi/Reg] SEG_axi_wb_i2c_master_2_Reg create_bd_addr_seg -range 0x00010000 -offset 0x43C30000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs fasec_hwtest_0/S00_AXI/S00_AXI_reg] SEG_fasec_hwtest_0_S00_AXI_reg create_bd_addr_seg -range 0x00010000 -offset 0x80000000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs wrc_1p_kintex7_0/s00_axi/Reg] SEG_wrc_1p_kintex7_0_Reg @@ -1678,6 +1687,7 @@ preplace port watchdog_pl_o -pg 1 -y 1120 -defaultsOSRD preplace port gtp_dedicated_clk_p_i -pg 1 -y 1630 -defaultsOSRD preplace port FMC1_CLK0C2M_N_o -pg 1 -y 1020 -defaultsOSRD preplace port pb_gp_i -pg 1 -y 1670 -defaultsOSRD +preplace port i2c_master_mdio -pg 1 -y 300 -defaultsOSRD preplace port dig_out5_n -pg 1 -y 1160 -defaultsOSRD preplace port Vaux8 -pg 1 -y 840 -defaultsOSRD preplace port dac_sclk_o -pg 1 -y 1650 -defaultsOSRD @@ -1699,6 +1709,7 @@ preplace inst wrc_1p_kintex7_0 -pg 1 -lvl 9 -y 1660 -defaultsOSRD preplace inst xadc_wiz_0 -pg 1 -lvl 3 -y 840 -defaultsOSRD preplace inst xlconcat_0 -pg 1 -lvl 6 -y 580 -defaultsOSRD preplace inst axi_wb_i2c_master_0 -pg 1 -lvl 3 -y 390 -defaultsOSRD +preplace inst axi_wb_i2c_master_1 -pg 1 -lvl 9 -y 310 -defaultsOSRD preplace inst axi_wb_i2c_master_2 -pg 1 -lvl 9 -y 520 -defaultsOSRD preplace inst xlconstant_6 -pg 1 -lvl 8 -y 1250 -defaultsOSRD preplace inst xlconstant_7 -pg 1 -lvl 8 -y 1710 -defaultsOSRD @@ -1708,7 +1719,7 @@ preplace inst axi_interconnect_1 -pg 1 -lvl 8 -y 870 -defaultsOSRD preplace inst rst_wrc_1p_kintex7_0_62M -pg 1 -lvl 7 -y 850 -defaultsOSRD preplace inst processing_system7_0_axi_periph -pg 1 -lvl 2 -y 280 -defaultsOSRD preplace inst processing_system7_0 -pg 1 -lvl 7 -y 520 -defaultsOSRD -preplace netloc osc100_clk_i_1 1 0 9 NJ 680 NJ 680 NJ 680 NJ 680 NJ 680 NJ 720 NJ 720 NJ 710 NJ +preplace netloc osc100_clk_i_1 1 0 9 NJ 680 NJ 680 NJ 680 NJ 680 NJ 680 NJ 710 NJ 710 NJ 710 NJ preplace netloc fasec_hwtest_0_led_col_pl_o 1 9 1 NJ preplace netloc dig_in4_n_i_1 1 0 9 NJ 1200 NJ 1200 NJ 1200 NJ 1200 NJ 1200 NJ 1200 NJ 1200 NJ 1200 NJ preplace netloc processing_system7_0_FIXED_IO 1 7 3 NJ 450 NJ 450 NJ @@ -1716,87 +1727,89 @@ preplace netloc fasec_hwtest_0_dig_outs_i 1 9 1 NJ preplace netloc gtp_dedicated_clk_n_i_1 1 0 9 NJ 1640 NJ 1640 NJ 1640 NJ 1640 NJ 1640 NJ 1640 NJ 1640 NJ 1640 NJ preplace netloc wrc_1p_kintex7_0_dac_din_o 1 9 1 NJ preplace netloc gtp_dedicated_clk_p_i_1 1 0 9 NJ 1630 NJ 1630 NJ 1630 NJ 1630 NJ 1630 NJ 1630 NJ 1630 NJ 1630 NJ -preplace netloc wrc_1p_kintex7_0_clk_rx_rbclk_o 1 8 2 2980 1320 3330 -preplace netloc wrc_1p_kintex7_0_pps_o 1 8 2 2960 1340 3350 -preplace netloc axi_uartlite_0_tx 1 3 7 NJ 600 NJ 600 NJ 710 NJ 710 NJ 620 NJ 620 3390 +preplace netloc wrc_1p_kintex7_0_clk_rx_rbclk_o 1 8 2 2970 1320 3410 +preplace netloc wrc_1p_kintex7_0_pps_o 1 8 2 2950 1340 3430 +preplace netloc axi_uartlite_0_tx 1 3 7 NJ 600 NJ 600 NJ 700 NJ 700 NJ 610 NJ 610 3470 preplace netloc dig_in3_n_i_1 1 0 9 NJ 1180 NJ 1180 NJ 1180 NJ 1180 NJ 1180 NJ 1180 NJ 1180 NJ 1180 NJ -preplace netloc FMC1_PRSNTM2C_n_i_1 1 0 9 NJ 660 NJ 660 NJ 660 NJ 660 NJ 660 NJ 730 NJ 730 NJ 730 NJ +preplace netloc FMC1_PRSNTM2C_n_i_1 1 0 9 NJ 670 NJ 670 NJ 670 NJ 670 NJ 670 NJ 690 NJ 680 NJ 680 NJ preplace netloc dig_in1_i_1 1 0 9 NJ 1140 NJ 1140 NJ 1140 NJ 1140 NJ 1140 NJ 1140 NJ 1140 NJ 1140 NJ -preplace netloc xlconcat_0_dout 1 6 1 2040 +preplace netloc xlconcat_0_dout 1 6 1 1990 preplace netloc fasec_hwtest_0_FMC1_CLK0C2M_P_o 1 9 1 NJ -preplace netloc pb_gp_i_1 1 0 9 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 2930 +preplace netloc pb_gp_i_1 1 0 9 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 2900 preplace netloc wrc_1p_kintex7_0_dac_sclk_o 1 9 1 NJ preplace netloc fasec_hwtest_0_led_line_pl_o 1 9 1 NJ -preplace netloc processing_system7_0_axi_periph_M06_AXI 1 2 3 720 320 NJ 260 NJ -preplace netloc axi_wb_i2c_master_2_axi_int_o 1 5 5 1730 750 NJ 750 NJ 590 NJ 590 3400 +preplace netloc processing_system7_0_axi_periph_M06_AXI 1 2 3 680 250 NJ 250 NJ +preplace netloc axi_wb_i2c_master_2_axi_int_o 1 5 5 1670 750 NJ 750 NJ 590 NJ 590 3480 preplace netloc processing_system7_0_DDR 1 7 3 NJ 430 NJ 430 NJ preplace netloc FMC1_CLK0M2C_N_i_1 1 0 9 NJ 1040 NJ 1040 NJ 1040 NJ 1040 NJ 1040 NJ 1040 NJ 1040 NJ 1040 NJ preplace netloc wrc_1p_kintex7_0_dac_cs2_n_o 1 9 1 NJ preplace netloc axi_wb_i2c_master_2_i2c_master 1 9 1 NJ -preplace netloc axi_interconnect_1_M00_AXI 1 8 1 2870 -preplace netloc FMC2_CLK0M2C_N_i_1 1 0 9 NJ 670 NJ 670 NJ 670 NJ 670 NJ 670 NJ 700 NJ 700 NJ 700 NJ +preplace netloc axi_interconnect_1_M00_AXI 1 8 1 2860 +preplace netloc FMC2_CLK0M2C_N_i_1 1 0 9 NJ 660 NJ 660 NJ 660 NJ 660 NJ 660 NJ 950 NJ 950 NJ 730 NJ preplace netloc processing_system7_0_axi_periph_M05_AXI 1 2 2 N 310 NJ preplace netloc fasec_hwtest_0_dig_out6_n 1 9 1 NJ -preplace netloc processing_system7_0_FCLK_RESET0_N 1 0 8 30 690 NJ 690 NJ 690 NJ 690 NJ 690 NJ 780 2070 760 2460 +preplace netloc processing_system7_0_FCLK_RESET0_N 1 0 8 0 690 NJ 690 NJ 690 NJ 690 NJ 690 NJ 780 2040 760 2430 preplace netloc FMC2_PRSNTM2C_n_i_1 1 0 9 NJ 540 NJ 540 NJ 500 NJ 500 NJ 500 NJ 740 NJ 670 NJ 670 NJ -preplace netloc rst_wrc_1p_kintex7_0_62M_interconnect_aresetn 1 7 1 2490 -preplace netloc xadc_wiz_0_M_AXIS 1 3 1 1030 -preplace netloc processing_system7_0_axi_periph_M02_AXI 1 2 7 690 120 NJ 120 NJ 120 NJ 120 NJ 120 NJ 120 NJ -preplace netloc xadc_axis_fifo_adapter_0_M_AXIS 1 4 1 1280 -preplace netloc processing_system7_0_axi_periph_M07_AXI 1 2 1 680 -preplace netloc fasec_hwtest_0_intr_led_o 1 5 5 1740 760 NJ 680 NJ 680 NJ 680 3330 -preplace netloc wrc_1p_kintex7_0_gtp0_synced_led_o 1 8 2 2970 760 3370 +preplace netloc rst_wrc_1p_kintex7_0_62M_interconnect_aresetn 1 7 1 2520 +preplace netloc xadc_wiz_0_M_AXIS 1 3 1 990 +preplace netloc processing_system7_0_axi_periph_M03_AXI 1 2 7 NJ 260 NJ 260 NJ 410 NJ 410 NJ 290 NJ 290 N +preplace netloc processing_system7_0_axi_periph_M02_AXI 1 2 7 660 120 NJ 120 NJ 120 NJ 120 NJ 120 NJ 120 NJ +preplace netloc xadc_axis_fifo_adapter_0_M_AXIS 1 4 1 1240 +preplace netloc processing_system7_0_axi_periph_M07_AXI 1 2 1 650 +preplace netloc fasec_hwtest_0_intr_led_o 1 5 5 1680 760 NJ 740 NJ 620 NJ 620 3410 +preplace netloc wrc_1p_kintex7_0_gtp0_synced_led_o 1 8 2 2960 760 3460 preplace netloc fasec_hwtest_0_FMC2_CLK0C2M_N_o 1 9 1 NJ -preplace netloc rst_wrc_1p_kintex7_0_62M_peripheral_aresetn 1 7 2 2560 750 NJ -preplace netloc clk_25m_vcxo_i_1 1 0 9 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 2890 -preplace netloc axi_dma_0_M_AXI_S2MM 1 5 1 1670 -preplace netloc wrc_1p_kintex7_0_gtp0_link_led_o 1 8 2 2980 770 3360 +preplace netloc rst_wrc_1p_kintex7_0_62M_peripheral_aresetn 1 7 2 2530 700 NJ +preplace netloc clk_25m_vcxo_i_1 1 0 9 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 2860 +preplace netloc axi_dma_0_M_AXI_S2MM 1 5 1 1650 +preplace netloc wrc_1p_kintex7_0_gtp0_link_led_o 1 8 2 2970 770 3450 preplace netloc fasec_hwtest_0_FMC2_CLK0C2M_P_o 1 9 1 NJ preplace netloc Vaux2_1 1 0 3 NJ 820 NJ 820 NJ preplace netloc Vp_Vn_1 1 0 3 NJ 760 NJ 760 NJ preplace netloc fasec_hwtest_0_FMC1_CLK0C2M_N_o 1 9 1 NJ preplace netloc fasec_hwtest_0_watchdog_pl_o 1 9 1 NJ -preplace netloc processing_system7_0_axi_periph_M01_AXI 1 2 1 730 +preplace netloc processing_system7_0_axi_periph_M01_AXI 1 2 1 700 preplace netloc fasec_hwtest_0_dig_out5_n 1 9 1 NJ preplace netloc FMC1_CLK0M2C_P_i_1 1 0 9 NJ 1020 NJ 1020 NJ 1020 NJ 1020 NJ 1020 NJ 1020 NJ 1020 NJ 1020 NJ preplace netloc Vaux0_1 1 0 3 NJ 780 NJ 780 NJ preplace netloc Net10 1 9 1 NJ -preplace netloc wrc_1p_kintex7_0_uart_txd_o 1 3 7 N 570 NJ 570 NJ 690 NJ 690 NJ 640 NJ 640 3380 -preplace netloc processing_system7_0_FCLK_CLK0 1 0 9 30 20 350 550 730 460 1010 230 1310 230 1740 360 2050 660 2470 570 2940 +preplace netloc wrc_1p_kintex7_0_uart_txd_o 1 3 7 N 570 NJ 570 NJ 720 NJ 720 NJ 630 NJ 630 3440 +preplace netloc processing_system7_0_FCLK_CLK0 1 0 9 0 20 320 550 700 460 980 230 1250 230 1690 360 2000 660 2490 570 2920 preplace netloc Net11 1 9 1 NJ +preplace netloc axi_wb_i2c_master_1_i2c_master 1 9 1 N preplace netloc Net2 1 9 1 NJ -preplace netloc fasec_hwtest_0_intr_o 1 5 5 1720 770 NJ 740 NJ 690 NJ 690 3320 +preplace netloc fasec_hwtest_0_intr_o 1 5 5 1660 770 NJ 730 NJ 640 NJ 640 3400 preplace netloc Net3 1 9 1 NJ -preplace netloc rst_processing_system7_0_100M_interconnect_aresetn 1 1 1 360 -preplace netloc processing_system7_0_axi_periph_M00_AXI 1 2 7 680 110 NJ 110 NJ 110 NJ 110 NJ 110 NJ 110 NJ +preplace netloc rst_processing_system7_0_100M_interconnect_aresetn 1 1 1 330 +preplace netloc processing_system7_0_axi_periph_M00_AXI 1 2 7 650 110 NJ 110 NJ 110 NJ 110 NJ 110 NJ 110 NJ preplace netloc fasec_hwtest_0_led_line_en_pl_o 1 9 1 NJ -preplace netloc wrc_1p_kintex7_0_s00_axi_aclk_o 1 6 4 2080 940 2540 650 NJ 650 3400 +preplace netloc wrc_1p_kintex7_0_s00_axi_aclk_o 1 6 4 2050 940 2540 650 NJ 650 3480 preplace netloc Net4 1 9 1 NJ preplace netloc Vaux8_1 1 0 3 NJ 840 NJ 840 NJ -preplace netloc wrc_1p_kintex7_0_gtp0_activity_led_o 1 8 2 2950 1330 3320 +preplace netloc wrc_1p_kintex7_0_gtp0_activity_led_o 1 8 2 2940 1330 3400 preplace netloc Net5 1 9 1 NJ preplace netloc xadc_wiz_0_ip2intc_irpt 1 3 3 NJ 540 NJ 540 N preplace netloc Net6 1 9 1 NJ preplace netloc xlconstant_6_dout 1 8 1 NJ preplace netloc Net7 1 9 1 NJ preplace netloc dig_in2_i_1 1 0 9 NJ 1160 NJ 1160 NJ 1160 NJ 1160 NJ 1160 NJ 1160 NJ 1160 NJ 1160 NJ -preplace netloc axi_uartlite_0_interrupt 1 3 3 1040 590 NJ 590 NJ +preplace netloc axi_uartlite_0_interrupt 1 3 3 1000 590 NJ 590 NJ preplace netloc Vaux10_1 1 0 3 NJ 880 NJ 880 NJ -preplace netloc processing_system7_0_M_AXI_GP0 1 1 7 380 20 NJ 20 NJ 20 NJ 20 NJ 20 NJ 20 2460 -preplace netloc wrc_1p_kintex7_0_clk_ref_o 1 8 2 2970 1310 3340 +preplace netloc processing_system7_0_M_AXI_GP0 1 1 7 350 20 NJ 20 NJ 20 NJ 20 NJ 20 NJ 20 2430 +preplace netloc wrc_1p_kintex7_0_clk_ref_o 1 8 2 2960 1310 3420 preplace netloc Vaux1_1 1 0 3 NJ 800 NJ 800 NJ preplace netloc Vaux9_1 1 0 3 NJ 860 NJ 860 NJ -preplace netloc axi_dma_0_s2mm_introut 1 5 1 1730 -preplace netloc processing_system7_0_axi_periph_M04_AXI 1 2 1 690 -preplace netloc rst_processing_system7_0_100M_peripheral_aresetn 1 1 8 370 10 700 250 1050 250 1290 410 1720 380 NJ 380 NJ 380 2900 -preplace netloc FMC2_CLK0M2C_P_i_1 1 0 9 NJ 580 NJ 580 NJ 490 NJ 490 NJ 490 NJ 950 NJ 950 NJ 740 NJ +preplace netloc axi_dma_0_s2mm_introut 1 5 1 1680 +preplace netloc processing_system7_0_axi_periph_M04_AXI 1 2 1 660 +preplace netloc rst_processing_system7_0_100M_peripheral_aresetn 1 1 8 340 10 670 470 1010 480 1250 420 1670 380 NJ 380 NJ 380 2930 +preplace netloc FMC2_CLK0M2C_P_i_1 1 0 9 NJ 580 NJ 580 NJ 490 NJ 490 NJ 490 NJ 730 NJ 690 NJ 690 NJ preplace netloc wrc_1p_kintex7_0_dac_cs1_n_o 1 9 1 NJ preplace netloc wrc_1p_kintex7_0_gtp_wr 1 9 1 NJ -preplace netloc S00_AXI_1 1 7 1 2510 -preplace netloc axi_interconnect_0_M00_AXI 1 6 1 2080 +preplace netloc S00_AXI_1 1 7 1 2520 +preplace netloc axi_interconnect_0_M00_AXI 1 6 1 2050 preplace netloc xlconstant_7_dout 1 8 1 NJ -preplace netloc axi_wb_i2c_master_0_axi_int_o 1 3 3 1020 480 NJ 480 NJ -levelinfo -pg 1 -40 190 530 880 1170 1470 1890 2270 2710 3150 3420 -top 0 -bot 1940 +preplace netloc axi_wb_i2c_master_0_axi_int_o 1 3 3 970 220 NJ 220 NJ +levelinfo -pg 1 -70 160 500 840 1120 1420 1840 2240 2690 3230 3500 -top 0 -bot 1940 ", } diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.dcp index aade593482f874934da55b9ab41d34e7ec826b4b..3f628be077d61ce94e6fc784faa0dc4dd5458929 100644 Binary files a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.dcp and b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.dcp differ diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.xml index 73a6460a246a0573864f07bc0b2363b6493a81a0..5989da078b33e2a63e7421addcf89f0cb708775d 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.xml @@ -1055,7 +1055,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1085,7 +1085,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1116,7 +1116,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1162,7 +1162,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1193,7 +1193,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1223,7 +1223,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 08:01:44 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:27:17 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.v index 550b25f71f4c502779057fa761a94acb96d4499c..88f385095bf90570c76c89099ef0ad0fa3ed368b 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Thu Oct 12 10:01:43 2017 +// Date : Mon Dec 18 11:27:17 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode funcsim // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.v diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.vhdl index c5b769753f072a9dff8c139af82a6d2d555462c3..96ce46afa6592a3d36fe48e997976ffb1ea72707 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Thu Oct 12 10:01:44 2017 +-- Date : Mon Dec 18 11:27:17 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode funcsim -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_sim_netlist.vhdl diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.v index f6e950d5adf3659b34546298ba03acc12728f873..f4bb835d00ef7eb6e2b452850bdcf09f5ab5736e 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Thu Oct 12 10:01:43 2017 +// Date : Mon Dec 18 11:27:17 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode synth_stub // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.v diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.vhdl index 8a3acb1c66942a5cf6b4163a4226184b20fabecd..96ee68eeed8c367f29bed4f7bceeaada32a8c77b 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Thu Oct 12 10:01:43 2017 +-- Date : Mon Dec 18 11:27:17 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode synth_stub -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0_stub.vhdl diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.dcp index 762aafdcf1506b0734b5d987ef42e6697bfbbe76..b5184ac2a7ae1ecd00a9bafe6ecc038f38fbb519 100644 Binary files a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.dcp and b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.dcp differ diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.xml index 42e39a3135c7af98b9187bf6988d1b0483d013e4..fc0b6342eb44759ac44763438543a83128dc38da 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1.xml @@ -1055,7 +1055,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1085,7 +1085,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1116,7 +1116,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1162,7 +1162,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1193,7 +1193,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1223,7 +1223,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 08:01:50 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:27:08 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.v index f13fe3eeba0faafc8461c566d3bf960d3242e8b8..c52644ac4ed3a1a8d8d280addefa480f19ee34b5 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Thu Oct 12 10:01:50 2017 +// Date : Mon Dec 18 11:27:07 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode funcsim // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.v diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.vhdl index f41f47f087313cc7f2c85eb5ac7db90debf2d4cd..e31a345bc9862132ab5de1734b698e71af0fb6be 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Thu Oct 12 10:01:50 2017 +-- Date : Mon Dec 18 11:27:07 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode funcsim -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_sim_netlist.vhdl diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.v index c2c923dae8ca15999050af1f0f2fac903e767440..5b994be189263e8818995c1917f57f322b32ba42 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Thu Oct 12 10:01:49 2017 +// Date : Mon Dec 18 11:27:07 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode synth_stub // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.v diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.vhdl index c985ca0eadae2f17630581eda9a4421595cffd03..abc4b996a09090f913482aca83b0a3955885b157 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Thu Oct 12 10:01:50 2017 +-- Date : Mon Dec 18 11:27:07 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode synth_stub -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_1/system_design_auto_pc_1_stub.vhdl diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/sim/system_design_auto_pc_2.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/sim/system_design_auto_pc_2.v index 05ac26f48cdb001311489e69d2599256737b290d..43c79ac4aed73deaf67b4186dce0e15b5b8017d2 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/sim/system_design_auto_pc_2.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/sim/system_design_auto_pc_2.v @@ -64,10 +64,10 @@ module system_design_auto_pc_2 ( s_axi_awlock, s_axi_awcache, s_axi_awprot, + s_axi_awregion, s_axi_awqos, s_axi_awvalid, s_axi_awready, - s_axi_wid, s_axi_wdata, s_axi_wstrb, s_axi_wlast, @@ -85,6 +85,7 @@ module system_design_auto_pc_2 ( s_axi_arlock, s_axi_arcache, s_axi_arprot, + s_axi_arregion, s_axi_arqos, s_axi_arvalid, s_axi_arready, @@ -124,25 +125,25 @@ input wire [11 : 0] s_axi_awid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *) input wire [31 : 0] s_axi_awaddr; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLEN" *) -input wire [3 : 0] s_axi_awlen; +input wire [7 : 0] s_axi_awlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE" *) input wire [2 : 0] s_axi_awsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWBURST" *) input wire [1 : 0] s_axi_awburst; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK" *) -input wire [1 : 0] s_axi_awlock; +input wire [0 : 0] s_axi_awlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE" *) input wire [3 : 0] s_axi_awcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *) input wire [2 : 0] s_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREGION" *) +input wire [3 : 0] s_axi_awregion; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWQOS" *) input wire [3 : 0] s_axi_awqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWVALID" *) input wire s_axi_awvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREADY" *) output wire s_axi_awready; -(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WID" *) -input wire [11 : 0] s_axi_wid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WDATA" *) input wire [31 : 0] s_axi_wdata; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WSTRB" *) @@ -166,17 +167,19 @@ input wire [11 : 0] s_axi_arid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARADDR" *) input wire [31 : 0] s_axi_araddr; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLEN" *) -input wire [3 : 0] s_axi_arlen; +input wire [7 : 0] s_axi_arlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE" *) input wire [2 : 0] s_axi_arsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARBURST" *) input wire [1 : 0] s_axi_arburst; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK" *) -input wire [1 : 0] s_axi_arlock; +input wire [0 : 0] s_axi_arlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE" *) input wire [3 : 0] s_axi_arcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARPROT" *) input wire [2 : 0] s_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREGION" *) +input wire [3 : 0] s_axi_arregion; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARQOS" *) input wire [3 : 0] s_axi_arqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARVALID" *) @@ -237,7 +240,7 @@ output wire m_axi_rready; axi_protocol_converter_v2_1_9_axi_protocol_converter #( .C_FAMILY("zynq"), .C_M_AXI_PROTOCOL(2), - .C_S_AXI_PROTOCOL(1), + .C_S_AXI_PROTOCOL(0), .C_IGNORE_ID(0), .C_AXI_ID_WIDTH(12), .C_AXI_ADDR_WIDTH(32), @@ -262,12 +265,12 @@ output wire m_axi_rready; .s_axi_awlock(s_axi_awlock), .s_axi_awcache(s_axi_awcache), .s_axi_awprot(s_axi_awprot), - .s_axi_awregion(4'H0), + .s_axi_awregion(s_axi_awregion), .s_axi_awqos(s_axi_awqos), .s_axi_awuser(1'H0), .s_axi_awvalid(s_axi_awvalid), .s_axi_awready(s_axi_awready), - .s_axi_wid(s_axi_wid), + .s_axi_wid(12'H000), .s_axi_wdata(s_axi_wdata), .s_axi_wstrb(s_axi_wstrb), .s_axi_wlast(s_axi_wlast), @@ -287,7 +290,7 @@ output wire m_axi_rready; .s_axi_arlock(s_axi_arlock), .s_axi_arcache(s_axi_arcache), .s_axi_arprot(s_axi_arprot), - .s_axi_arregion(4'H0), + .s_axi_arregion(s_axi_arregion), .s_axi_arqos(s_axi_arqos), .s_axi_aruser(1'H0), .s_axi_arvalid(s_axi_arvalid), diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/synth/system_design_auto_pc_2.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/synth/system_design_auto_pc_2.v index c7b8a5d187ee353bc510c346d359f46b036fd181..c54f85df87c8f6a13be3b53827045ae46556593e 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/synth/system_design_auto_pc_2.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/synth/system_design_auto_pc_2.v @@ -52,7 +52,7 @@ (* X_CORE_INFO = "axi_protocol_converter_v2_1_9_axi_protocol_converter,Vivado 2016.2" *) (* CHECK_LICENSE_TYPE = "system_design_auto_pc_2,axi_protocol_converter_v2_1_9_axi_protocol_converter,{}" *) -(* CORE_GENERATION_INFO = "system_design_auto_pc_2,axi_protocol_converter_v2_1_9_axi_protocol_converter,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_protocol_converter,x_ipVersion=2.1,x_ipCoreRevision=9,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_M_AXI_PROTOCOL=2,C_S_AXI_PROTOCOL=1,C_IGNORE_ID=0,C_AXI_ID_WIDTH=12,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=32,C_AXI_SUPPORTS_WRITE=1,C_AXI_SUPPORTS_READ=1,C_AXI_SUPPORTS_USER_SIGNALS=0,C_AXI_AWUSER_WIDTH=1,C_AXI_ARUSER_WIDTH=1,C_AXI_WUSER\ +(* CORE_GENERATION_INFO = "system_design_auto_pc_2,axi_protocol_converter_v2_1_9_axi_protocol_converter,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_protocol_converter,x_ipVersion=2.1,x_ipCoreRevision=9,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_M_AXI_PROTOCOL=2,C_S_AXI_PROTOCOL=0,C_IGNORE_ID=0,C_AXI_ID_WIDTH=12,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=32,C_AXI_SUPPORTS_WRITE=1,C_AXI_SUPPORTS_READ=1,C_AXI_SUPPORTS_USER_SIGNALS=0,C_AXI_AWUSER_WIDTH=1,C_AXI_ARUSER_WIDTH=1,C_AXI_WUSER\ _WIDTH=1,C_AXI_RUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_TRANSLATION_MODE=2}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) module system_design_auto_pc_2 ( @@ -66,10 +66,10 @@ module system_design_auto_pc_2 ( s_axi_awlock, s_axi_awcache, s_axi_awprot, + s_axi_awregion, s_axi_awqos, s_axi_awvalid, s_axi_awready, - s_axi_wid, s_axi_wdata, s_axi_wstrb, s_axi_wlast, @@ -87,6 +87,7 @@ module system_design_auto_pc_2 ( s_axi_arlock, s_axi_arcache, s_axi_arprot, + s_axi_arregion, s_axi_arqos, s_axi_arvalid, s_axi_arready, @@ -126,25 +127,25 @@ input wire [11 : 0] s_axi_awid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *) input wire [31 : 0] s_axi_awaddr; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLEN" *) -input wire [3 : 0] s_axi_awlen; +input wire [7 : 0] s_axi_awlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE" *) input wire [2 : 0] s_axi_awsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWBURST" *) input wire [1 : 0] s_axi_awburst; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK" *) -input wire [1 : 0] s_axi_awlock; +input wire [0 : 0] s_axi_awlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE" *) input wire [3 : 0] s_axi_awcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *) input wire [2 : 0] s_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREGION" *) +input wire [3 : 0] s_axi_awregion; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWQOS" *) input wire [3 : 0] s_axi_awqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWVALID" *) input wire s_axi_awvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREADY" *) output wire s_axi_awready; -(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WID" *) -input wire [11 : 0] s_axi_wid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WDATA" *) input wire [31 : 0] s_axi_wdata; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WSTRB" *) @@ -168,17 +169,19 @@ input wire [11 : 0] s_axi_arid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARADDR" *) input wire [31 : 0] s_axi_araddr; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLEN" *) -input wire [3 : 0] s_axi_arlen; +input wire [7 : 0] s_axi_arlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE" *) input wire [2 : 0] s_axi_arsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARBURST" *) input wire [1 : 0] s_axi_arburst; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK" *) -input wire [1 : 0] s_axi_arlock; +input wire [0 : 0] s_axi_arlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE" *) input wire [3 : 0] s_axi_arcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARPROT" *) input wire [2 : 0] s_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREGION" *) +input wire [3 : 0] s_axi_arregion; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARQOS" *) input wire [3 : 0] s_axi_arqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARVALID" *) @@ -239,7 +242,7 @@ output wire m_axi_rready; axi_protocol_converter_v2_1_9_axi_protocol_converter #( .C_FAMILY("zynq"), .C_M_AXI_PROTOCOL(2), - .C_S_AXI_PROTOCOL(1), + .C_S_AXI_PROTOCOL(0), .C_IGNORE_ID(0), .C_AXI_ID_WIDTH(12), .C_AXI_ADDR_WIDTH(32), @@ -264,12 +267,12 @@ output wire m_axi_rready; .s_axi_awlock(s_axi_awlock), .s_axi_awcache(s_axi_awcache), .s_axi_awprot(s_axi_awprot), - .s_axi_awregion(4'H0), + .s_axi_awregion(s_axi_awregion), .s_axi_awqos(s_axi_awqos), .s_axi_awuser(1'H0), .s_axi_awvalid(s_axi_awvalid), .s_axi_awready(s_axi_awready), - .s_axi_wid(s_axi_wid), + .s_axi_wid(12'H000), .s_axi_wdata(s_axi_wdata), .s_axi_wstrb(s_axi_wstrb), .s_axi_wlast(s_axi_wlast), @@ -289,7 +292,7 @@ output wire m_axi_rready; .s_axi_arlock(s_axi_arlock), .s_axi_arcache(s_axi_arcache), .s_axi_arprot(s_axi_arprot), - .s_axi_arregion(4'H0), + .s_axi_arregion(s_axi_arregion), .s_axi_arqos(s_axi_arqos), .s_axi_aruser(1'H0), .s_axi_arvalid(s_axi_arvalid), diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.dcp index f02cb053081f0543d6a1d92f7576b65e8c62dac6..98e46e823cc75fd8db3588aeb434f9c9723178b4 100644 Binary files a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.dcp and b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.dcp differ diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xci index 0a3d9ee03411f58d65da9376a13d6d7d51b9463a..b677a6bc7ea4cf137256a354de06633c472525ee 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xci +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xci @@ -32,8 +32,8 @@ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING">8</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.PHASE">0.000</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL">AXI4LITE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> @@ -55,15 +55,15 @@ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH">12</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.MAX_BURST_LENGTH">16</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING">8</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.PHASE">0.000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL">AXI3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL">AXI4</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue> @@ -82,7 +82,7 @@ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IGNORE_ID">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_PROTOCOL">2</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_PROTOCOL">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_PROTOCOL">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TRANSLATION_MODE">2</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDR_WIDTH">32</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ARUSER_WIDTH">0</spirit:configurableElementValue> @@ -94,7 +94,7 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MI_PROTOCOL">AXI4LITE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RUSER_WIDTH">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SI_PROTOCOL">AXI3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SI_PROTOCOL">AXI4</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TRANSLATION_MODE">2</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WUSER_WIDTH">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue> @@ -122,14 +122,11 @@ <xilinx:configElementInfos> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLK.CLK_DOMAIN" xilinx:valueSource="default_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLK.FREQ_HZ" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ARUSER_WIDTH" xilinx:valueSource="constant"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.AWUSER_WIDTH" xilinx:valueSource="constant"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.BUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK" xilinx:valueSource="constant_prop"/> @@ -137,52 +134,41 @@ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="constant"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.RUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.WUSER_WIDTH" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.RST.POLARITY" xilinx:valueSource="constant"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ARUSER_WIDTH" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.AWUSER_WIDTH" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.BUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION" xilinx:valueSource="user"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH" xilinx:valueSource="ip_propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.MAX_BURST_LENGTH" xilinx:valueSource="ip_propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.WUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="ip_propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ADDR_WIDTH" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ARUSER_WIDTH" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.AWUSER_WIDTH" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.BUSER_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.DATA_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ID_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MI_PROTOCOL" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.READ_WRITE_MODE" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RUSER_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.SI_PROTOCOL" xilinx:valueSource="user"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.WUSER_WIDTH" xilinx:valueSource="propagated"/> </xilinx:configElementInfos> </xilinx:componentInstanceExtensions> </spirit:vendorExtensions> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xml index 4753219af213b797b67965f7f73d9ed3c5ae9c5e..0edab03de99890ae1fe1a1e10fdc79ad1bb78c24 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2.xml @@ -380,7 +380,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>PROTOCOL</spirit:name> - <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.PROTOCOL">AXI3</spirit:value> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.PROTOCOL">AXI4</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>FREQ_HZ</spirit:name> @@ -440,7 +440,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>HAS_REGION</spirit:name> - <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_REGION">0</spirit:value> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_REGION">1</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>HAS_WSTRB</spirit:name> @@ -460,11 +460,11 @@ </spirit:parameter> <spirit:parameter> <spirit:name>NUM_READ_OUTSTANDING</spirit:name> - <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING">8</spirit:value> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING">1</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name> - <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING">8</spirit:value> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING">1</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>MAX_BURST_LENGTH</spirit:name> @@ -935,11 +935,11 @@ </spirit:parameter> <spirit:parameter> <spirit:name>NUM_READ_OUTSTANDING</spirit:name> - <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING">8</spirit:value> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING">1</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name> - <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING">8</spirit:value> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING">1</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>MAX_BURST_LENGTH</spirit:name> @@ -1055,11 +1055,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> - <spirit:value>a68a03f3</spirit:value> + <spirit:value>f63ae277</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRCversion</spirit:name> @@ -1067,7 +1067,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>3af11c64</spirit:value> + <spirit:value>b0b8cf88</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -1085,11 +1085,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:27 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> - <spirit:value>a68a03f3</spirit:value> + <spirit:value>f63ae277</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRCversion</spirit:name> @@ -1097,7 +1097,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>3af11c64</spirit:value> + <spirit:value>b0b8cf88</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -1116,11 +1116,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:27 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> - <spirit:value>a68a03f3</spirit:value> + <spirit:value>f63ae277</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRCversion</spirit:name> @@ -1128,7 +1128,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>3af11c64</spirit:value> + <spirit:value>b0b8cf88</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -1162,11 +1162,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> - <spirit:value>a68a03f3</spirit:value> + <spirit:value>f63ae277</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRCversion</spirit:name> @@ -1174,7 +1174,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>0d997f0d</spirit:value> + <spirit:value>1d8ffb41</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -1193,11 +1193,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 07:59:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:27 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> - <spirit:value>a68a03f3</spirit:value> + <spirit:value>f63ae277</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRCversion</spirit:name> @@ -1205,7 +1205,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>0d997f0d</spirit:value> + <spirit:value>1d8ffb41</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -1223,11 +1223,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 08:01:50 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:27:00 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> - <spirit:value>a68a03f3</spirit:value> + <spirit:value>f63ae277</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRCversion</spirit:name> @@ -1235,7 +1235,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>3af11c64</spirit:value> + <spirit:value>b0b8cf88</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -1330,7 +1330,7 @@ <spirit:wire> <spirit:direction>in</spirit:direction> <spirit:vector> - <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8) - 1)">3</spirit:left> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8) - 1)">7</spirit:left> <spirit:right spirit:format="long">0</spirit:right> </spirit:vector> <spirit:wireTypeDefs> @@ -1341,7 +1341,7 @@ </spirit:wireTypeDef> </spirit:wireTypeDefs> <spirit:driver> - <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8)){0}}" spirit:bitStringLength="8">0x0</spirit:defaultValue> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8)){0}}" spirit:bitStringLength="8">0x00</spirit:defaultValue> </spirit:driver> </spirit:wire> <spirit:vendorExtensions> @@ -1411,7 +1411,7 @@ <spirit:wire> <spirit:direction>in</spirit:direction> <spirit:vector> - <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 2 : 1) - 1)">1</spirit:left> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 2 : 1) - 1)">0</spirit:left> <spirit:right spirit:format="long">0</spirit:right> </spirit:vector> <spirit:wireTypeDefs> @@ -1509,7 +1509,7 @@ <spirit:vendorExtensions> <xilinx:portInfo> <xilinx:enablement> - <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awregion" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI4") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">false</xilinx:isEnabled> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awregion" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI4") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> </xilinx:enablement> </xilinx:portInfo> </spirit:vendorExtensions> @@ -1633,7 +1633,7 @@ <spirit:vendorExtensions> <xilinx:portInfo> <xilinx:enablement> - <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI3") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI3") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">false</xilinx:isEnabled> </xilinx:enablement> </xilinx:portInfo> </spirit:vendorExtensions> @@ -1959,7 +1959,7 @@ <spirit:wire> <spirit:direction>in</spirit:direction> <spirit:vector> - <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8) - 1)">3</spirit:left> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8) - 1)">7</spirit:left> <spirit:right spirit:format="long">0</spirit:right> </spirit:vector> <spirit:wireTypeDefs> @@ -1970,7 +1970,7 @@ </spirit:wireTypeDef> </spirit:wireTypeDefs> <spirit:driver> - <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8)){0}}" spirit:bitStringLength="8">0x0</spirit:defaultValue> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8)){0}}" spirit:bitStringLength="8">0x00</spirit:defaultValue> </spirit:driver> </spirit:wire> <spirit:vendorExtensions> @@ -2040,7 +2040,7 @@ <spirit:wire> <spirit:direction>in</spirit:direction> <spirit:vector> - <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 2 : 1) - 1)">1</spirit:left> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 2 : 1) - 1)">0</spirit:left> <spirit:right spirit:format="long">0</spirit:right> </spirit:vector> <spirit:wireTypeDefs> @@ -2138,7 +2138,7 @@ <spirit:vendorExtensions> <xilinx:portInfo> <xilinx:enablement> - <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arregion" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI4") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">false</xilinx:isEnabled> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arregion" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI4") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> </xilinx:enablement> </xilinx:portInfo> </spirit:vendorExtensions> @@ -3482,7 +3482,7 @@ </spirit:modelParameter> <spirit:modelParameter spirit:dataType="integer"> <spirit:name>C_S_AXI_PROTOCOL</spirit:name> - <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_PROTOCOL">1</spirit:value> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_PROTOCOL">0</spirit:value> </spirit:modelParameter> <spirit:modelParameter spirit:dataType="integer"> <spirit:name>C_IGNORE_ID</spirit:name> @@ -3551,8 +3551,8 @@ <spirit:enumeration>64</spirit:enumeration> </spirit:choice> <spirit:choice> - <spirit:name>choice_list_dfc23cd1</spirit:name> - <spirit:enumeration>AXI4</spirit:enumeration> + <spirit:name>choice_list_a4e480ed</spirit:name> + <spirit:enumeration>AXI3</spirit:enumeration> <spirit:enumeration>AXI4LITE</spirit:enumeration> </spirit:choice> <spirit:choice> @@ -4328,12 +4328,12 @@ <spirit:parameter> <spirit:name>SI_PROTOCOL</spirit:name> <spirit:displayName>SI PROTOCOL</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SI_PROTOCOL" spirit:choiceRef="choice_list_7235ff92" spirit:order="2">AXI3</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SI_PROTOCOL" spirit:choiceRef="choice_list_7235ff92" spirit:order="2">AXI4</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>MI_PROTOCOL</spirit:name> <spirit:displayName>MI PROTOCOL</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MI_PROTOCOL" spirit:choiceRef="choice_list_dfc23cd1" spirit:order="3">AXI4LITE</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MI_PROTOCOL" spirit:choiceRef="choice_list_a4e480ed" spirit:order="3">AXI4LITE</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>READ_WRITE_MODE</spirit:name> @@ -4397,14 +4397,11 @@ <xilinx:configElementInfos> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLK.CLK_DOMAIN" xilinx:valueSource="default_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLK.FREQ_HZ" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ARUSER_WIDTH" xilinx:valueSource="constant"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.AWUSER_WIDTH" xilinx:valueSource="constant"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.BUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK" xilinx:valueSource="constant_prop"/> @@ -4412,52 +4409,41 @@ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="constant"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.RUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.WUSER_WIDTH" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.RST.POLARITY" xilinx:valueSource="constant"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ARUSER_WIDTH" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.AWUSER_WIDTH" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.BUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION" xilinx:valueSource="user"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH" xilinx:valueSource="ip_propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.MAX_BURST_LENGTH" xilinx:valueSource="ip_propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH" xilinx:valueSource="constant_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="user_prop"/> - <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.WUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="ip_propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ADDR_WIDTH" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ARUSER_WIDTH" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.AWUSER_WIDTH" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.BUSER_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.DATA_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ID_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MI_PROTOCOL" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.READ_WRITE_MODE" xilinx:valueSource="propagated"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RUSER_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.SI_PROTOCOL" xilinx:valueSource="user"/> - <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.WUSER_WIDTH" xilinx:valueSource="propagated"/> </xilinx:configElementInfos> </xilinx:coreExtensions> <xilinx:packagingInfo> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.v index 1b677d2e938a9fa97d3f11d21e54d3f65f2d5042..fbf7049e6322d6397d0489632ca7c1105e811992 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Thu Oct 12 10:01:50 2017 +// Date : Mon Dec 18 11:27:00 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode funcsim // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.v @@ -25,10 +25,10 @@ module system_design_auto_pc_2 s_axi_awlock, s_axi_awcache, s_axi_awprot, + s_axi_awregion, s_axi_awqos, s_axi_awvalid, s_axi_awready, - s_axi_wid, s_axi_wdata, s_axi_wstrb, s_axi_wlast, @@ -46,6 +46,7 @@ module system_design_auto_pc_2 s_axi_arlock, s_axi_arcache, s_axi_arprot, + s_axi_arregion, s_axi_arqos, s_axi_arvalid, s_axi_arready, @@ -78,16 +79,16 @@ module system_design_auto_pc_2 (* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RST RST" *) input aresetn; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWID" *) input [11:0]s_axi_awid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *) input [31:0]s_axi_awaddr; - (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLEN" *) input [3:0]s_axi_awlen; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLEN" *) input [7:0]s_axi_awlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE" *) input [2:0]s_axi_awsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWBURST" *) input [1:0]s_axi_awburst; - (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK" *) input [1:0]s_axi_awlock; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK" *) input [0:0]s_axi_awlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE" *) input [3:0]s_axi_awcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *) input [2:0]s_axi_awprot; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREGION" *) input [3:0]s_axi_awregion; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWQOS" *) input [3:0]s_axi_awqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWVALID" *) input s_axi_awvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREADY" *) output s_axi_awready; - (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WID" *) input [11:0]s_axi_wid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WDATA" *) input [31:0]s_axi_wdata; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WSTRB" *) input [3:0]s_axi_wstrb; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WLAST" *) input s_axi_wlast; @@ -99,12 +100,13 @@ module system_design_auto_pc_2 (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BREADY" *) input s_axi_bready; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARID" *) input [11:0]s_axi_arid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARADDR" *) input [31:0]s_axi_araddr; - (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLEN" *) input [3:0]s_axi_arlen; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLEN" *) input [7:0]s_axi_arlen; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE" *) input [2:0]s_axi_arsize; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARBURST" *) input [1:0]s_axi_arburst; - (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK" *) input [1:0]s_axi_arlock; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK" *) input [0:0]s_axi_arlock; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE" *) input [3:0]s_axi_arcache; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARPROT" *) input [2:0]s_axi_arprot; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREGION" *) input [3:0]s_axi_arregion; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARQOS" *) input [3:0]s_axi_arqos; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARVALID" *) input s_axi_arvalid; (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREADY" *) output s_axi_arready; @@ -159,22 +161,24 @@ module system_design_auto_pc_2 wire [1:0]s_axi_arburst; wire [3:0]s_axi_arcache; wire [11:0]s_axi_arid; - wire [3:0]s_axi_arlen; - wire [1:0]s_axi_arlock; + wire [7:0]s_axi_arlen; + wire [0:0]s_axi_arlock; wire [2:0]s_axi_arprot; wire [3:0]s_axi_arqos; wire s_axi_arready; + wire [3:0]s_axi_arregion; wire [2:0]s_axi_arsize; wire s_axi_arvalid; wire [31:0]s_axi_awaddr; wire [1:0]s_axi_awburst; wire [3:0]s_axi_awcache; wire [11:0]s_axi_awid; - wire [3:0]s_axi_awlen; - wire [1:0]s_axi_awlock; + wire [7:0]s_axi_awlen; + wire [0:0]s_axi_awlock; wire [2:0]s_axi_awprot; wire [3:0]s_axi_awqos; wire s_axi_awready; + wire [3:0]s_axi_awregion; wire [2:0]s_axi_awsize; wire s_axi_awvalid; wire [11:0]s_axi_bid; @@ -188,7 +192,6 @@ module system_design_auto_pc_2 wire [1:0]s_axi_rresp; wire s_axi_rvalid; wire [31:0]s_axi_wdata; - wire [11:0]s_axi_wid; wire s_axi_wlast; wire s_axi_wready; wire [3:0]s_axi_wstrb; @@ -231,7 +234,7 @@ module system_design_auto_pc_2 (* C_FAMILY = "zynq" *) (* C_IGNORE_ID = "0" *) (* C_M_AXI_PROTOCOL = "2" *) - (* C_S_AXI_PROTOCOL = "1" *) + (* C_S_AXI_PROTOCOL = "0" *) (* C_TRANSLATION_MODE = "2" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* P_AXI3 = "1" *) @@ -300,7 +303,7 @@ module system_design_auto_pc_2 .s_axi_arprot(s_axi_arprot), .s_axi_arqos(s_axi_arqos), .s_axi_arready(s_axi_arready), - .s_axi_arregion({1'b0,1'b0,1'b0,1'b0}), + .s_axi_arregion(s_axi_arregion), .s_axi_arsize(s_axi_arsize), .s_axi_aruser(1'b0), .s_axi_arvalid(s_axi_arvalid), @@ -313,7 +316,7 @@ module system_design_auto_pc_2 .s_axi_awprot(s_axi_awprot), .s_axi_awqos(s_axi_awqos), .s_axi_awready(s_axi_awready), - .s_axi_awregion({1'b0,1'b0,1'b0,1'b0}), + .s_axi_awregion(s_axi_awregion), .s_axi_awsize(s_axi_awsize), .s_axi_awuser(1'b0), .s_axi_awvalid(s_axi_awvalid), @@ -330,7 +333,7 @@ module system_design_auto_pc_2 .s_axi_ruser(NLW_inst_s_axi_ruser_UNCONNECTED[0]), .s_axi_rvalid(s_axi_rvalid), .s_axi_wdata(s_axi_wdata), - .s_axi_wid(s_axi_wid), + .s_axi_wid({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), .s_axi_wlast(s_axi_wlast), .s_axi_wready(s_axi_wready), .s_axi_wstrb(s_axi_wstrb), @@ -342,7 +345,7 @@ endmodule (* C_AXI_BUSER_WIDTH = "1" *) (* C_AXI_DATA_WIDTH = "32" *) (* C_AXI_ID_WIDTH = "12" *) (* C_AXI_RUSER_WIDTH = "1" *) (* C_AXI_SUPPORTS_READ = "1" *) (* C_AXI_SUPPORTS_USER_SIGNALS = "0" *) (* C_AXI_SUPPORTS_WRITE = "1" *) (* C_AXI_WUSER_WIDTH = "1" *) (* C_FAMILY = "zynq" *) -(* C_IGNORE_ID = "0" *) (* C_M_AXI_PROTOCOL = "2" *) (* C_S_AXI_PROTOCOL = "1" *) +(* C_IGNORE_ID = "0" *) (* C_M_AXI_PROTOCOL = "2" *) (* C_S_AXI_PROTOCOL = "0" *) (* C_TRANSLATION_MODE = "2" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_axi_protocol_converter" *) (* P_AXI3 = "1" *) (* P_AXI4 = "0" *) (* P_AXILITE = "2" *) (* P_AXILITE_SIZE = "3'b010" *) (* P_CONVERSION = "2" *) (* P_DECERR = "2'b11" *) @@ -444,10 +447,10 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_conver input aresetn; input [11:0]s_axi_awid; input [31:0]s_axi_awaddr; - input [3:0]s_axi_awlen; + input [7:0]s_axi_awlen; input [2:0]s_axi_awsize; input [1:0]s_axi_awburst; - input [1:0]s_axi_awlock; + input [0:0]s_axi_awlock; input [3:0]s_axi_awcache; input [2:0]s_axi_awprot; input [3:0]s_axi_awregion; @@ -469,10 +472,10 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_conver input s_axi_bready; input [11:0]s_axi_arid; input [31:0]s_axi_araddr; - input [3:0]s_axi_arlen; + input [7:0]s_axi_arlen; input [2:0]s_axi_arsize; input [1:0]s_axi_arburst; - input [1:0]s_axi_arlock; + input [0:0]s_axi_arlock; input [3:0]s_axi_arcache; input [2:0]s_axi_arprot; input [3:0]s_axi_arregion; @@ -556,7 +559,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_conver wire [31:0]s_axi_araddr; wire [1:0]s_axi_arburst; wire [11:0]s_axi_arid; - wire [3:0]s_axi_arlen; + wire [7:0]s_axi_arlen; wire [2:0]s_axi_arprot; wire s_axi_arready; wire [2:0]s_axi_arsize; @@ -564,7 +567,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_conver wire [31:0]s_axi_awaddr; wire [1:0]s_axi_awburst; wire [11:0]s_axi_awid; - wire [3:0]s_axi_awlen; + wire [7:0]s_axi_awlen; wire [2:0]s_axi_awprot; wire s_axi_awready; wire [2:0]s_axi_awsize; @@ -742,7 +745,6 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s m_axi_rready, m_axi_awaddr, m_axi_araddr, - m_axi_arready, s_axi_rready, aclk, in, @@ -759,6 +761,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s s_axi_arsize, s_axi_arprot, s_axi_araddr, + m_axi_arready, m_axi_awready, s_axi_awvalid, m_axi_bvalid, @@ -780,23 +783,23 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s output m_axi_rready; output [11:0]m_axi_awaddr; output [11:0]m_axi_araddr; - input m_axi_arready; input s_axi_rready; input aclk; input [33:0]in; input [11:0]s_axi_awid; - input [3:0]s_axi_awlen; + input [7:0]s_axi_awlen; input [1:0]s_axi_awburst; input [1:0]s_axi_awsize; input [2:0]s_axi_awprot; input [31:0]s_axi_awaddr; input [1:0]m_axi_bresp; input [11:0]s_axi_arid; - input [3:0]s_axi_arlen; + input [7:0]s_axi_arlen; input [1:0]s_axi_arburst; input [1:0]s_axi_arsize; input [2:0]s_axi_arprot; input [31:0]s_axi_araddr; + input m_axi_arready; input m_axi_awready; input s_axi_awvalid; input m_axi_bvalid; @@ -807,54 +810,41 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s wire [11:4]C; wire [22:0]Q; - wire \RD.ar_channel_0_n_14 ; - wire \RD.ar_channel_0_n_15 ; - wire \RD.ar_channel_0_n_44 ; - wire \RD.ar_channel_0_n_45 ; - wire \RD.ar_channel_0_n_46 ; wire \RD.ar_channel_0_n_47 ; - wire \RD.ar_channel_0_n_5 ; + wire \RD.ar_channel_0_n_48 ; + wire \RD.ar_channel_0_n_49 ; + wire \RD.ar_channel_0_n_50 ; + wire \RD.ar_channel_0_n_7 ; + wire \RD.ar_channel_0_n_8 ; + wire \RD.ar_channel_0_n_9 ; wire \RD.r_channel_0_n_0 ; wire \RD.r_channel_0_n_1 ; - wire SI_REG_n_124; - wire SI_REG_n_125; - wire SI_REG_n_126; - wire SI_REG_n_127; - wire SI_REG_n_128; - wire SI_REG_n_129; - wire SI_REG_n_130; - wire SI_REG_n_131; - wire SI_REG_n_132; - wire SI_REG_n_133; - wire SI_REG_n_134; - wire SI_REG_n_135; - wire SI_REG_n_136; - wire SI_REG_n_137; - wire SI_REG_n_138; - wire SI_REG_n_139; + wire SI_REG_n_10; + wire SI_REG_n_11; wire SI_REG_n_140; wire SI_REG_n_141; + wire SI_REG_n_142; + wire SI_REG_n_143; + wire SI_REG_n_144; wire SI_REG_n_145; wire SI_REG_n_146; wire SI_REG_n_147; wire SI_REG_n_148; + wire SI_REG_n_149; wire SI_REG_n_150; + wire SI_REG_n_151; + wire SI_REG_n_152; wire SI_REG_n_153; + wire SI_REG_n_154; + wire SI_REG_n_155; + wire SI_REG_n_156; wire SI_REG_n_157; wire SI_REG_n_158; wire SI_REG_n_159; - wire SI_REG_n_160; - wire SI_REG_n_161; - wire SI_REG_n_162; wire SI_REG_n_163; wire SI_REG_n_164; wire SI_REG_n_165; wire SI_REG_n_166; - wire SI_REG_n_167; - wire SI_REG_n_168; - wire SI_REG_n_169; - wire SI_REG_n_170; - wire SI_REG_n_171; wire SI_REG_n_172; wire SI_REG_n_173; wire SI_REG_n_174; @@ -862,17 +852,41 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s wire SI_REG_n_176; wire SI_REG_n_177; wire SI_REG_n_178; - wire \WR.aw_channel_0_n_42 ; - wire \WR.aw_channel_0_n_43 ; - wire \WR.aw_channel_0_n_44 ; - wire \WR.aw_channel_0_n_45 ; + wire SI_REG_n_179; + wire SI_REG_n_180; + wire SI_REG_n_181; + wire SI_REG_n_182; + wire SI_REG_n_183; + wire SI_REG_n_184; + wire SI_REG_n_185; + wire SI_REG_n_186; + wire SI_REG_n_187; + wire SI_REG_n_188; + wire SI_REG_n_189; + wire SI_REG_n_190; + wire SI_REG_n_191; + wire SI_REG_n_28; + wire SI_REG_n_29; + wire SI_REG_n_30; + wire SI_REG_n_31; + wire SI_REG_n_8; + wire SI_REG_n_86; + wire SI_REG_n_87; + wire SI_REG_n_88; + wire SI_REG_n_89; + wire SI_REG_n_9; + wire \WR.aw_channel_0_n_47 ; + wire \WR.aw_channel_0_n_48 ; + wire \WR.aw_channel_0_n_49 ; wire \WR.aw_channel_0_n_5 ; - wire \WR.aw_channel_0_n_6 ; + wire \WR.aw_channel_0_n_50 ; wire \WR.aw_channel_0_n_7 ; + wire \WR.aw_channel_0_n_8 ; wire \WR.b_channel_0_n_1 ; wire \WR.b_channel_0_n_2 ; wire \WR.b_channel_0_n_3 ; wire aclk; + wire [1:0]\ar_cmd_fsm_0/state ; wire \ar_pipe/m_valid_i0 ; wire \ar_pipe/p_1_in ; wire areset_d1; @@ -880,18 +894,18 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s wire aresetn; wire \aw_pipe/p_1_in ; wire [11:0]b_awid; - wire [3:0]b_awlen; + wire [7:0]b_awlen; wire b_push; wire [3:0]\cmd_translator_0/incr_cmd_0/axaddr_incr_reg ; wire [3:0]\cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3 ; wire \cmd_translator_0/incr_cmd_0/sel_first ; wire \cmd_translator_0/incr_cmd_0/sel_first_2 ; wire [3:0]\cmd_translator_0/wrap_cmd_0/axaddr_offset ; - wire [3:1]\cmd_translator_0/wrap_cmd_0/axaddr_offset_0 ; - wire [3:1]\cmd_translator_0/wrap_cmd_0/axaddr_offset_r ; + wire [3:0]\cmd_translator_0/wrap_cmd_0/axaddr_offset_0 ; + wire [3:0]\cmd_translator_0/wrap_cmd_0/axaddr_offset_r ; wire [3:1]\cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1 ; - wire [2:1]\cmd_translator_0/wrap_cmd_0/wrap_second_len ; - wire [2:0]\cmd_translator_0/wrap_cmd_0/wrap_second_len_r ; + wire [3:0]\cmd_translator_0/wrap_cmd_0/wrap_second_len ; + wire [3:0]\cmd_translator_0/wrap_cmd_0/wrap_second_len_r ; wire [33:0]in; wire [11:0]m_axi_araddr; wire [22:0]\m_axi_arprot[2] ; @@ -913,7 +927,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s wire [31:0]s_axi_araddr; wire [1:0]s_axi_arburst; wire [11:0]s_axi_arid; - wire [3:0]s_axi_arlen; + wire [7:0]s_axi_arlen; wire [2:0]s_axi_arprot; wire s_axi_arready; wire [1:0]s_axi_arsize; @@ -921,7 +935,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s wire [31:0]s_axi_awaddr; wire [1:0]s_axi_awburst; wire [11:0]s_axi_awid; - wire [3:0]s_axi_awlen; + wire [7:0]s_axi_awlen; wire [2:0]s_axi_awprot; wire s_axi_awready; wire [1:0]s_axi_awsize; @@ -954,35 +968,31 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s wire [1:0]si_rs_rresp; system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel \RD.ar_channel_0 - (.CO(SI_REG_n_137), + (.CO(SI_REG_n_153), .D(\cmd_translator_0/wrap_cmd_0/wrap_second_len ), .E(\ar_pipe/p_1_in ), - .O({SI_REG_n_138,SI_REG_n_139,SI_REG_n_140,SI_REG_n_141}), - .Q(\cmd_translator_0/wrap_cmd_0/wrap_second_len_r ), - .S({\RD.ar_channel_0_n_44 ,\RD.ar_channel_0_n_45 ,\RD.ar_channel_0_n_46 ,\RD.ar_channel_0_n_47 }), + .O({SI_REG_n_154,SI_REG_n_155,SI_REG_n_156,SI_REG_n_157}), + .Q(\ar_cmd_fsm_0/state ), + .S({\RD.ar_channel_0_n_47 ,\RD.ar_channel_0_n_48 ,\RD.ar_channel_0_n_49 ,\RD.ar_channel_0_n_50 }), .aclk(aclk), .areset_d1(areset_d1), .\axaddr_incr_reg[3] (\cmd_translator_0/incr_cmd_0/axaddr_incr_reg ), - .axaddr_offset(\cmd_translator_0/wrap_cmd_0/axaddr_offset [0]), + .axaddr_offset(\cmd_translator_0/wrap_cmd_0/axaddr_offset ), .\axaddr_offset_r_reg[3] (\cmd_translator_0/wrap_cmd_0/axaddr_offset_r ), - .\axaddr_offset_r_reg[3]_0 (SI_REG_n_153), .\cnt_read_reg[1]_rep__0 (\RD.r_channel_0_n_1 ), .m_axi_araddr(m_axi_araddr), .m_axi_arready(m_axi_arready), .m_axi_arvalid(m_axi_arvalid), - .\m_payload_i_reg[0] (\RD.ar_channel_0_n_14 ), - .\m_payload_i_reg[0]_0 (\RD.ar_channel_0_n_15 ), - .\m_payload_i_reg[11] ({SI_REG_n_133,SI_REG_n_134,SI_REG_n_135,SI_REG_n_136}), - .\m_payload_i_reg[35] (SI_REG_n_157), - .\m_payload_i_reg[35]_0 (SI_REG_n_158), - .\m_payload_i_reg[38] (SI_REG_n_178), - .\m_payload_i_reg[3] (SI_REG_n_176), - .\m_payload_i_reg[3]_0 ({SI_REG_n_129,SI_REG_n_130,SI_REG_n_131,SI_REG_n_132}), - .\m_payload_i_reg[44] (SI_REG_n_159), - .\m_payload_i_reg[47] (SI_REG_n_160), - .\m_payload_i_reg[47]_0 (\cmd_translator_0/wrap_cmd_0/axaddr_offset [3:1]), - .\m_payload_i_reg[61] ({s_arid,si_rs_arlen,si_rs_arburst,si_rs_arsize,si_rs_araddr}), - .\m_payload_i_reg[6] ({SI_REG_n_169,SI_REG_n_170,SI_REG_n_171,SI_REG_n_172,SI_REG_n_173,SI_REG_n_174,SI_REG_n_175}), + .\m_payload_i_reg[0] (\RD.ar_channel_0_n_8 ), + .\m_payload_i_reg[0]_0 (\RD.ar_channel_0_n_9 ), + .\m_payload_i_reg[11] ({SI_REG_n_149,SI_REG_n_150,SI_REG_n_151,SI_REG_n_152}), + .\m_payload_i_reg[38] (SI_REG_n_191), + .\m_payload_i_reg[3] ({SI_REG_n_145,SI_REG_n_146,SI_REG_n_147,SI_REG_n_148}), + .\m_payload_i_reg[46] (SI_REG_n_174), + .\m_payload_i_reg[47] (SI_REG_n_172), + .\m_payload_i_reg[48] (SI_REG_n_173), + .\m_payload_i_reg[64] ({s_arid,SI_REG_n_86,SI_REG_n_87,SI_REG_n_88,SI_REG_n_89,si_rs_arlen,si_rs_arburst,si_rs_arsize,si_rs_araddr}), + .\m_payload_i_reg[6] ({SI_REG_n_183,SI_REG_n_184,SI_REG_n_185,SI_REG_n_186,SI_REG_n_187,SI_REG_n_188,SI_REG_n_189}), .m_valid_i0(\ar_pipe/m_valid_i0 ), .\r_arid_r_reg[11] (s_arid_r), .r_push(r_push), @@ -991,8 +1001,9 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s .s_ready_i_reg(s_axi_arready), .sel_first(\cmd_translator_0/incr_cmd_0/sel_first ), .si_rs_arvalid(si_rs_arvalid), - .\wrap_boundary_axaddr_r_reg[11] (\RD.ar_channel_0_n_5 ), - .\wrap_second_len_r_reg[0] (SI_REG_n_150)); + .\wrap_boundary_axaddr_r_reg[0] (\RD.ar_channel_0_n_7 ), + .\wrap_second_len_r_reg[3] (\cmd_translator_0/wrap_cmd_0/wrap_second_len_r ), + .\wrap_second_len_r_reg[3]_0 ({SI_REG_n_8,SI_REG_n_9,SI_REG_n_10,SI_REG_n_11})); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel \RD.r_channel_0 (.D(s_arid_r), .aclk(aclk), @@ -1006,46 +1017,46 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s .r_rlast(r_rlast), .si_rs_rready(si_rs_rready), .\skid_buffer_reg[46] ({si_rs_rid,si_rs_rlast}), - .\state_reg[1]_rep (\RD.r_channel_0_n_1 )); + .\state_reg[0]_rep (\RD.r_channel_0_n_1 )); system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice SI_REG - (.CO(SI_REG_n_124), + (.CO(SI_REG_n_140), .D(\cmd_translator_0/wrap_cmd_0/wrap_second_len ), .E(\aw_pipe/p_1_in ), - .O({SI_REG_n_125,SI_REG_n_126,SI_REG_n_127,SI_REG_n_128}), - .Q({s_awid,si_rs_awlen,si_rs_awburst,si_rs_awsize,Q,si_rs_awaddr}), - .S({\WR.aw_channel_0_n_42 ,\WR.aw_channel_0_n_43 ,\WR.aw_channel_0_n_44 ,\WR.aw_channel_0_n_45 }), + .O({SI_REG_n_141,SI_REG_n_142,SI_REG_n_143,SI_REG_n_144}), + .Q({s_awid,SI_REG_n_28,SI_REG_n_29,SI_REG_n_30,SI_REG_n_31,si_rs_awlen,si_rs_awburst,si_rs_awsize,Q,si_rs_awaddr}), + .S({\WR.aw_channel_0_n_47 ,\WR.aw_channel_0_n_48 ,\WR.aw_channel_0_n_49 ,\WR.aw_channel_0_n_50 }), .aclk(aclk), .aresetn(aresetn), .axaddr_incr_reg(\cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3 ), .\axaddr_incr_reg[11] (C), - .\axaddr_incr_reg[11]_0 ({SI_REG_n_133,SI_REG_n_134,SI_REG_n_135,SI_REG_n_136}), - .\axaddr_incr_reg[3] ({SI_REG_n_138,SI_REG_n_139,SI_REG_n_140,SI_REG_n_141}), + .\axaddr_incr_reg[11]_0 ({SI_REG_n_149,SI_REG_n_150,SI_REG_n_151,SI_REG_n_152}), + .\axaddr_incr_reg[3] ({SI_REG_n_154,SI_REG_n_155,SI_REG_n_156,SI_REG_n_157}), .\axaddr_incr_reg[3]_0 (\cmd_translator_0/incr_cmd_0/axaddr_incr_reg ), - .\axaddr_incr_reg[7] ({SI_REG_n_129,SI_REG_n_130,SI_REG_n_131,SI_REG_n_132}), - .\axaddr_incr_reg[7]_0 (SI_REG_n_137), - .axaddr_offset(\cmd_translator_0/wrap_cmd_0/axaddr_offset_0 ), - .axaddr_offset_0(\cmd_translator_0/wrap_cmd_0/axaddr_offset [0]), - .\axaddr_offset_r_reg[0] (SI_REG_n_168), - .\axaddr_offset_r_reg[0]_0 (SI_REG_n_176), - .\axaddr_offset_r_reg[1] (SI_REG_n_145), - .\axaddr_offset_r_reg[1]_0 (SI_REG_n_157), - .\axaddr_offset_r_reg[3] (\cmd_translator_0/wrap_cmd_0/axaddr_offset [3:1]), - .\axaddr_offset_r_reg[3]_0 (\cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1 ), - .\axaddr_offset_r_reg[3]_1 (\cmd_translator_0/wrap_cmd_0/axaddr_offset_r ), - .\axlen_cnt_reg[3] (SI_REG_n_147), - .\axlen_cnt_reg[3]_0 (SI_REG_n_160), + .\axaddr_incr_reg[7] ({SI_REG_n_145,SI_REG_n_146,SI_REG_n_147,SI_REG_n_148}), + .\axaddr_incr_reg[7]_0 (SI_REG_n_153), + .axaddr_offset(\cmd_translator_0/wrap_cmd_0/axaddr_offset_0 [3:1]), + .axaddr_offset_0(\cmd_translator_0/wrap_cmd_0/axaddr_offset ), + .\axaddr_offset_r_reg[0] (SI_REG_n_182), + .\axaddr_offset_r_reg[0]_0 (\cmd_translator_0/wrap_cmd_0/axaddr_offset_0 [0]), + .\axaddr_offset_r_reg[1] (SI_REG_n_163), + .\axaddr_offset_r_reg[3] (\cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1 ), + .\axaddr_offset_r_reg[3]_0 (\cmd_translator_0/wrap_cmd_0/axaddr_offset_r ), + .\axlen_cnt_reg[3] (SI_REG_n_164), + .\axlen_cnt_reg[3]_0 (SI_REG_n_172), .b_push(b_push), .\cnt_read_reg[3]_rep__2 (\RD.r_channel_0_n_0 ), .\cnt_read_reg[4] ({si_rs_rresp,si_rs_rdata}), - .\m_axi_araddr[10] (SI_REG_n_178), - .\m_axi_awaddr[10] (SI_REG_n_177), - .\m_payload_i_reg[3] ({\RD.ar_channel_0_n_44 ,\RD.ar_channel_0_n_45 ,\RD.ar_channel_0_n_46 ,\RD.ar_channel_0_n_47 }), + .\m_axi_araddr[10] (SI_REG_n_191), + .\m_axi_awaddr[10] (SI_REG_n_190), + .\m_payload_i_reg[3] ({\RD.ar_channel_0_n_47 ,\RD.ar_channel_0_n_48 ,\RD.ar_channel_0_n_49 ,\RD.ar_channel_0_n_50 }), .m_valid_i0(\ar_pipe/m_valid_i0 ), - .next_pending_r_reg(SI_REG_n_148), - .next_pending_r_reg_0(SI_REG_n_159), + .next_pending_r_reg(SI_REG_n_165), + .next_pending_r_reg_0(SI_REG_n_166), + .next_pending_r_reg_1(SI_REG_n_173), + .next_pending_r_reg_2(SI_REG_n_174), .out(si_rs_bid), .r_push_r_reg({si_rs_rid,si_rs_rlast}), - .\s_arid_r_reg[11] ({s_arid,si_rs_arlen,si_rs_arburst,si_rs_arsize,\m_axi_arprot[2] ,si_rs_araddr}), + .\s_arid_r_reg[11] ({s_arid,SI_REG_n_86,SI_REG_n_87,SI_REG_n_88,SI_REG_n_89,si_rs_arlen,si_rs_arburst,si_rs_arsize,\m_axi_arprot[2] ,si_rs_araddr}), .s_axi_araddr(s_axi_araddr), .s_axi_arburst(s_axi_arburst), .s_axi_arid(s_axi_arid), @@ -1077,34 +1088,35 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s .si_rs_bready(si_rs_bready), .si_rs_bvalid(si_rs_bvalid), .si_rs_rready(si_rs_rready), - .\state_reg[0]_rep (\WR.aw_channel_0_n_7 ), - .\state_reg[0]_rep_0 (\RD.ar_channel_0_n_15 ), + .\state_reg[0]_rep (\WR.aw_channel_0_n_8 ), + .\state_reg[0]_rep_0 (\RD.ar_channel_0_n_9 ), + .\state_reg[1] (\ar_cmd_fsm_0/state ), .\state_reg[1]_rep (\WR.aw_channel_0_n_5 ), - .\state_reg[1]_rep_0 (\WR.aw_channel_0_n_6 ), - .\state_reg[1]_rep_1 (\RD.ar_channel_0_n_5 ), - .\state_reg[1]_rep_2 (\RD.ar_channel_0_n_14 ), + .\state_reg[1]_rep_0 (\WR.aw_channel_0_n_7 ), + .\state_reg[1]_rep_1 (\RD.ar_channel_0_n_7 ), + .\state_reg[1]_rep_2 (\RD.ar_channel_0_n_8 ), .\state_reg[1]_rep_3 (\ar_pipe/p_1_in ), - .\wrap_boundary_axaddr_r_reg[6] ({SI_REG_n_161,SI_REG_n_162,SI_REG_n_163,SI_REG_n_164,SI_REG_n_165,SI_REG_n_166,SI_REG_n_167}), - .\wrap_boundary_axaddr_r_reg[6]_0 ({SI_REG_n_169,SI_REG_n_170,SI_REG_n_171,SI_REG_n_172,SI_REG_n_173,SI_REG_n_174,SI_REG_n_175}), - .\wrap_cnt_r_reg[2] (SI_REG_n_150), - .\wrap_cnt_r_reg[2]_0 (SI_REG_n_153), - .\wrap_second_len_r_reg[2] (\cmd_translator_0/wrap_cmd_0/wrap_second_len_r ), - .\wrap_second_len_r_reg[3] (SI_REG_n_146), - .\wrap_second_len_r_reg[3]_0 (SI_REG_n_158)); + .\wrap_boundary_axaddr_r_reg[6] ({SI_REG_n_175,SI_REG_n_176,SI_REG_n_177,SI_REG_n_178,SI_REG_n_179,SI_REG_n_180,SI_REG_n_181}), + .\wrap_boundary_axaddr_r_reg[6]_0 ({SI_REG_n_183,SI_REG_n_184,SI_REG_n_185,SI_REG_n_186,SI_REG_n_187,SI_REG_n_188,SI_REG_n_189}), + .\wrap_cnt_r_reg[1] (SI_REG_n_158), + .\wrap_cnt_r_reg[3] ({SI_REG_n_8,SI_REG_n_9,SI_REG_n_10,SI_REG_n_11}), + .\wrap_second_len_r_reg[3] (SI_REG_n_159), + .\wrap_second_len_r_reg[3]_0 (\cmd_translator_0/wrap_cmd_0/wrap_second_len_r )); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel \WR.aw_channel_0 - (.CO(SI_REG_n_124), - .D({SI_REG_n_161,SI_REG_n_162,SI_REG_n_163,SI_REG_n_164,SI_REG_n_165,SI_REG_n_166,SI_REG_n_167}), + (.CO(SI_REG_n_140), + .D({SI_REG_n_175,SI_REG_n_176,SI_REG_n_177,SI_REG_n_178,SI_REG_n_179,SI_REG_n_180,SI_REG_n_181}), .E(\aw_pipe/p_1_in ), - .O({SI_REG_n_125,SI_REG_n_126,SI_REG_n_127,SI_REG_n_128}), - .Q({s_awid,si_rs_awlen,si_rs_awburst,si_rs_awsize,si_rs_awaddr}), - .S({\WR.aw_channel_0_n_42 ,\WR.aw_channel_0_n_43 ,\WR.aw_channel_0_n_44 ,\WR.aw_channel_0_n_45 }), + .O({SI_REG_n_141,SI_REG_n_142,SI_REG_n_143,SI_REG_n_144}), + .Q({s_awid,SI_REG_n_28,SI_REG_n_29,SI_REG_n_30,SI_REG_n_31,si_rs_awlen,si_rs_awburst,si_rs_awsize,si_rs_awaddr}), + .S({\WR.aw_channel_0_n_47 ,\WR.aw_channel_0_n_48 ,\WR.aw_channel_0_n_49 ,\WR.aw_channel_0_n_50 }), .aclk(aclk), .areset_d1(areset_d1), .\axaddr_incr_reg[3] (\cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3 ), - .\axaddr_offset_r_reg[1] (SI_REG_n_145), + .axaddr_offset(\cmd_translator_0/wrap_cmd_0/axaddr_offset_0 [3:1]), + .\axaddr_offset_r_reg[0] (\cmd_translator_0/wrap_cmd_0/axaddr_offset_0 [0]), + .\axaddr_offset_r_reg[1] (SI_REG_n_163), .\axaddr_offset_r_reg[3] (\cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1 ), - .\axlen_cnt_reg[7] (\WR.aw_channel_0_n_6 ), - .\axlen_cnt_reg[7]_0 (\WR.aw_channel_0_n_7 ), + .\axaddr_offset_r_reg[3]_0 (SI_REG_n_158), .b_push(b_push), .\cnt_read_reg[0]_rep__0 (\WR.b_channel_0_n_1 ), .\cnt_read_reg[1]_rep__1 (\WR.b_channel_0_n_3 ), @@ -1114,14 +1126,16 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s .m_axi_awready(m_axi_awready), .m_axi_awvalid(m_axi_awvalid), .\m_payload_i_reg[11] (C), - .\m_payload_i_reg[35] (SI_REG_n_146), - .\m_payload_i_reg[38] (SI_REG_n_177), - .\m_payload_i_reg[3] (SI_REG_n_168), - .\m_payload_i_reg[44] (SI_REG_n_148), - .\m_payload_i_reg[47] (SI_REG_n_147), - .\m_payload_i_reg[47]_0 (\cmd_translator_0/wrap_cmd_0/axaddr_offset_0 ), + .\m_payload_i_reg[35] (SI_REG_n_159), + .\m_payload_i_reg[38] (SI_REG_n_190), + .\m_payload_i_reg[3] (SI_REG_n_182), + .\m_payload_i_reg[46] (SI_REG_n_166), + .\m_payload_i_reg[47] (SI_REG_n_164), + .\m_payload_i_reg[48] (SI_REG_n_165), .sel_first(\cmd_translator_0/incr_cmd_0/sel_first_2 ), .si_rs_awvalid(si_rs_awvalid), + .\state_reg[1]_rep (\WR.aw_channel_0_n_7 ), + .\state_reg[1]_rep_0 (\WR.aw_channel_0_n_8 ), .\wrap_boundary_axaddr_r_reg[0] (\WR.aw_channel_0_n_5 )); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel \WR.b_channel_0 (.aclk(aclk), @@ -1156,109 +1170,96 @@ endmodule module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel (\axaddr_incr_reg[3] , sel_first, - \wrap_boundary_axaddr_r_reg[11] , Q, - axaddr_offset, - \axaddr_offset_r_reg[3] , - r_push, + \wrap_boundary_axaddr_r_reg[0] , \m_payload_i_reg[0] , \m_payload_i_reg[0]_0 , + r_push, m_axi_arvalid, r_rlast, m_valid_i0, E, m_axi_araddr, + \axaddr_offset_r_reg[3] , + \wrap_second_len_r_reg[3] , \r_arid_r_reg[11] , S, aclk, O, \m_payload_i_reg[47] , - m_axi_arready, si_rs_arvalid, - \axaddr_offset_r_reg[3]_0 , - \m_payload_i_reg[61] , + \m_payload_i_reg[64] , CO, + \m_payload_i_reg[46] , + \m_payload_i_reg[48] , + m_axi_arready, + areset_d1, \cnt_read_reg[1]_rep__0 , - D, - \m_payload_i_reg[35] , - \m_payload_i_reg[47]_0 , - \m_payload_i_reg[35]_0 , \m_payload_i_reg[3] , - \m_payload_i_reg[44] , - areset_d1, - \m_payload_i_reg[3]_0 , \m_payload_i_reg[11] , s_axi_arvalid, s_ready_i_reg, \m_payload_i_reg[38] , - \wrap_second_len_r_reg[0] , + axaddr_offset, + D, + \wrap_second_len_r_reg[3]_0 , \m_payload_i_reg[6] ); output [3:0]\axaddr_incr_reg[3] ; output sel_first; - output \wrap_boundary_axaddr_r_reg[11] ; - output [2:0]Q; - output [0:0]axaddr_offset; - output [2:0]\axaddr_offset_r_reg[3] ; - output r_push; + output [1:0]Q; + output \wrap_boundary_axaddr_r_reg[0] ; output \m_payload_i_reg[0] ; output \m_payload_i_reg[0]_0 ; + output r_push; output m_axi_arvalid; output r_rlast; output m_valid_i0; output [0:0]E; output [11:0]m_axi_araddr; + output [3:0]\axaddr_offset_r_reg[3] ; + output [3:0]\wrap_second_len_r_reg[3] ; output [11:0]\r_arid_r_reg[11] ; output [3:0]S; input aclk; input [3:0]O; input \m_payload_i_reg[47] ; - input m_axi_arready; input si_rs_arvalid; - input \axaddr_offset_r_reg[3]_0 ; - input [30:0]\m_payload_i_reg[61] ; + input [34:0]\m_payload_i_reg[64] ; input [0:0]CO; - input \cnt_read_reg[1]_rep__0 ; - input [1:0]D; - input \m_payload_i_reg[35] ; - input [2:0]\m_payload_i_reg[47]_0 ; - input \m_payload_i_reg[35]_0 ; - input \m_payload_i_reg[3] ; - input \m_payload_i_reg[44] ; + input \m_payload_i_reg[46] ; + input \m_payload_i_reg[48] ; + input m_axi_arready; input areset_d1; - input [3:0]\m_payload_i_reg[3]_0 ; + input \cnt_read_reg[1]_rep__0 ; + input [3:0]\m_payload_i_reg[3] ; input [3:0]\m_payload_i_reg[11] ; input s_axi_arvalid; input s_ready_i_reg; input \m_payload_i_reg[38] ; - input [0:0]\wrap_second_len_r_reg[0] ; + input [3:0]axaddr_offset; + input [3:0]D; + input [3:0]\wrap_second_len_r_reg[3]_0 ; input [6:0]\m_payload_i_reg[6] ; wire [0:0]CO; - wire [1:0]D; + wire [3:0]D; wire [0:0]E; wire [3:0]O; - wire [2:0]Q; + wire [1:0]Q; wire [3:0]S; wire aclk; - wire ar_cmd_fsm_0_n_0; - wire ar_cmd_fsm_0_n_10; wire ar_cmd_fsm_0_n_13; - wire ar_cmd_fsm_0_n_17; - wire ar_cmd_fsm_0_n_18; - wire ar_cmd_fsm_0_n_22; - wire ar_cmd_fsm_0_n_23; + wire ar_cmd_fsm_0_n_16; wire ar_cmd_fsm_0_n_3; - wire ar_cmd_fsm_0_n_4; wire ar_cmd_fsm_0_n_6; + wire ar_cmd_fsm_0_n_8; + wire ar_cmd_fsm_0_n_9; wire areset_d1; wire [3:0]\axaddr_incr_reg[3] ; - wire [0:0]axaddr_offset; - wire [2:0]\axaddr_offset_r_reg[3] ; - wire \axaddr_offset_r_reg[3]_0 ; + wire [3:0]axaddr_offset; + wire [3:0]\axaddr_offset_r_reg[3] ; wire cmd_translator_0_n_1; - wire cmd_translator_0_n_10; wire cmd_translator_0_n_11; - wire cmd_translator_0_n_13; wire cmd_translator_0_n_2; wire cmd_translator_0_n_8; wire cmd_translator_0_n_9; @@ -1270,15 +1271,12 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel wire \m_payload_i_reg[0] ; wire \m_payload_i_reg[0]_0 ; wire [3:0]\m_payload_i_reg[11] ; - wire \m_payload_i_reg[35] ; - wire \m_payload_i_reg[35]_0 ; wire \m_payload_i_reg[38] ; - wire \m_payload_i_reg[3] ; - wire [3:0]\m_payload_i_reg[3]_0 ; - wire \m_payload_i_reg[44] ; + wire [3:0]\m_payload_i_reg[3] ; + wire \m_payload_i_reg[46] ; wire \m_payload_i_reg[47] ; - wire [2:0]\m_payload_i_reg[47]_0 ; - wire [30:0]\m_payload_i_reg[61] ; + wire \m_payload_i_reg[48] ; + wire [34:0]\m_payload_i_reg[64] ; wire [6:0]\m_payload_i_reg[6] ; wire m_valid_i0; wire [11:0]\r_arid_r_reg[11] ; @@ -1289,29 +1287,18 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel wire sel_first; wire sel_first_i; wire si_rs_arvalid; - wire [1:0]state; - wire \wrap_boundary_axaddr_r_reg[11] ; - wire [0:0]\wrap_cmd_0/axaddr_offset_r ; - wire [3:0]\wrap_cmd_0/wrap_second_len ; - wire [3:3]\wrap_cmd_0/wrap_second_len_r ; + wire \wrap_boundary_axaddr_r_reg[0] ; wire wrap_next_pending; - wire [0:0]\wrap_second_len_r_reg[0] ; + wire [3:0]\wrap_second_len_r_reg[3] ; + wire [3:0]\wrap_second_len_r_reg[3]_0 ; system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm ar_cmd_fsm_0 - (.D({ar_cmd_fsm_0_n_3,ar_cmd_fsm_0_n_4}), - .E(\wrap_boundary_axaddr_r_reg[11] ), - .Q(state), + (.E(\wrap_boundary_axaddr_r_reg[0] ), + .Q(Q), .aclk(aclk), .areset_d1(areset_d1), - .\axaddr_incr_reg[11] (ar_cmd_fsm_0_n_18), - .\axaddr_offset_r_reg[0] (axaddr_offset), - .\axaddr_offset_r_reg[0]_0 (\wrap_cmd_0/axaddr_offset_r ), - .\axaddr_offset_r_reg[3] (\axaddr_offset_r_reg[3]_0 ), - .\axlen_cnt_reg[0] (ar_cmd_fsm_0_n_6), - .\axlen_cnt_reg[0]_0 (cmd_translator_0_n_9), - .\axlen_cnt_reg[3] (ar_cmd_fsm_0_n_17), - .\axlen_cnt_reg[7] (ar_cmd_fsm_0_n_0), - .\axlen_cnt_reg[7]_0 (cmd_translator_0_n_10), + .\axaddr_incr_reg[11] (ar_cmd_fsm_0_n_9), + .\axlen_cnt_reg[3] (ar_cmd_fsm_0_n_8), .\cnt_read_reg[1]_rep__0 (\cnt_read_reg[1]_rep__0 ), .incr_next_pending(incr_next_pending), .m_axi_arready(m_axi_arready), @@ -1319,148 +1306,137 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel .\m_payload_i_reg[0] (\m_payload_i_reg[0] ), .\m_payload_i_reg[0]_0 (\m_payload_i_reg[0]_0 ), .\m_payload_i_reg[0]_1 (E), - .\m_payload_i_reg[35] (\m_payload_i_reg[35] ), - .\m_payload_i_reg[35]_0 (\m_payload_i_reg[35]_0 ), - .\m_payload_i_reg[3] (\m_payload_i_reg[3] ), - .\m_payload_i_reg[44] (\m_payload_i_reg[61] [15:14]), - .\m_payload_i_reg[44]_0 (\m_payload_i_reg[44] ), - .\m_payload_i_reg[47] (\m_payload_i_reg[47]_0 [2:1]), + .\m_payload_i_reg[39] (\m_payload_i_reg[64] [14]), + .\m_payload_i_reg[46] (\m_payload_i_reg[46] ), .m_valid_i0(m_valid_i0), .next_pending_r_reg(cmd_translator_0_n_1), .r_push_r_reg(r_push), - .s_axburst_eq0_reg(ar_cmd_fsm_0_n_10), - .s_axburst_eq1_reg(ar_cmd_fsm_0_n_13), - .s_axburst_eq1_reg_0(cmd_translator_0_n_13), + .s_axburst_eq0_reg(ar_cmd_fsm_0_n_3), + .s_axburst_eq1_reg(ar_cmd_fsm_0_n_6), + .s_axburst_eq1_reg_0(cmd_translator_0_n_11), .s_axi_arvalid(s_axi_arvalid), .s_ready_i_reg(s_ready_i_reg), .sel_first_i(sel_first_i), - .sel_first_reg(ar_cmd_fsm_0_n_22), - .sel_first_reg_0(ar_cmd_fsm_0_n_23), + .sel_first_reg(ar_cmd_fsm_0_n_13), + .sel_first_reg_0(ar_cmd_fsm_0_n_16), .sel_first_reg_1(cmd_translator_0_n_2), .sel_first_reg_2(sel_first), .sel_first_reg_3(cmd_translator_0_n_8), .si_rs_arvalid(si_rs_arvalid), - .\state_reg[0]_0 (cmd_translator_0_n_11), - .wrap_next_pending(wrap_next_pending), - .\wrap_second_len_r_reg[2] (D), - .\wrap_second_len_r_reg[3] ({\wrap_cmd_0/wrap_second_len [3],\wrap_cmd_0/wrap_second_len [0]}), - .\wrap_second_len_r_reg[3]_0 ({\wrap_cmd_0/wrap_second_len_r ,Q[0]})); + .\state_reg[0]_rep_0 (cmd_translator_0_n_9), + .wrap_next_pending(wrap_next_pending)); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_1 cmd_translator_0 (.CO(CO), - .D(ar_cmd_fsm_0_n_6), - .E(\wrap_boundary_axaddr_r_reg[11] ), + .D(D), + .E(\wrap_boundary_axaddr_r_reg[0] ), .O(O), - .Q(cmd_translator_0_n_9), + .Q(Q), .S(S), .aclk(aclk), .\axaddr_incr_reg[11] (sel_first), .\axaddr_incr_reg[3] (\axaddr_incr_reg[3] ), - .\axaddr_offset_r_reg[3] ({\axaddr_offset_r_reg[3] ,\wrap_cmd_0/axaddr_offset_r }), - .\axaddr_offset_r_reg[3]_0 (\axaddr_offset_r_reg[3]_0 ), - .\axlen_cnt_reg[1] (cmd_translator_0_n_10), + .axaddr_offset(axaddr_offset), + .\axaddr_offset_r_reg[3] (\axaddr_offset_r_reg[3] ), .incr_next_pending(incr_next_pending), .m_axi_araddr(m_axi_araddr), .m_axi_arready(m_axi_arready), .\m_payload_i_reg[11] (\m_payload_i_reg[11] ), - .\m_payload_i_reg[35] (\m_payload_i_reg[35] ), .\m_payload_i_reg[38] (\m_payload_i_reg[38] ), - .\m_payload_i_reg[39] (ar_cmd_fsm_0_n_10), - .\m_payload_i_reg[39]_0 (ar_cmd_fsm_0_n_13), - .\m_payload_i_reg[3] (\m_payload_i_reg[3]_0 ), - .\m_payload_i_reg[44] (\m_payload_i_reg[44] ), + .\m_payload_i_reg[39] (ar_cmd_fsm_0_n_3), + .\m_payload_i_reg[39]_0 (ar_cmd_fsm_0_n_6), + .\m_payload_i_reg[3] (\m_payload_i_reg[3] ), .\m_payload_i_reg[47] (\m_payload_i_reg[47] ), - .\m_payload_i_reg[47]_0 (\m_payload_i_reg[61] [18:0]), - .\m_payload_i_reg[47]_1 ({\m_payload_i_reg[47]_0 ,axaddr_offset}), + .\m_payload_i_reg[48] (\m_payload_i_reg[48] ), + .\m_payload_i_reg[51] (\m_payload_i_reg[64] [22:0]), .\m_payload_i_reg[6] (\m_payload_i_reg[6] ), - .m_valid_i_reg(ar_cmd_fsm_0_n_17), + .m_valid_i_reg(ar_cmd_fsm_0_n_8), .next_pending_r_reg(cmd_translator_0_n_1), - .next_pending_r_reg_0(cmd_translator_0_n_11), + .next_pending_r_reg_0(cmd_translator_0_n_9), .r_rlast(r_rlast), .sel_first_i(sel_first_i), .sel_first_reg_0(cmd_translator_0_n_2), .sel_first_reg_1(cmd_translator_0_n_8), - .sel_first_reg_2(ar_cmd_fsm_0_n_18), - .sel_first_reg_3(ar_cmd_fsm_0_n_22), - .sel_first_reg_4(ar_cmd_fsm_0_n_23), + .sel_first_reg_2(ar_cmd_fsm_0_n_9), + .sel_first_reg_3(ar_cmd_fsm_0_n_13), + .sel_first_reg_4(ar_cmd_fsm_0_n_16), .si_rs_arvalid(si_rs_arvalid), - .\state_reg[0]_rep (cmd_translator_0_n_13), - .\state_reg[1] (state), - .\state_reg[1]_0 (ar_cmd_fsm_0_n_0), - .\state_reg[1]_rep (r_push), + .\state_reg[0]_rep (\m_payload_i_reg[0]_0 ), + .\state_reg[1]_rep (cmd_translator_0_n_11), + .\state_reg[1]_rep_0 (\m_payload_i_reg[0] ), + .\state_reg[1]_rep_1 (r_push), .wrap_next_pending(wrap_next_pending), - .\wrap_second_len_r_reg[3] ({\wrap_cmd_0/wrap_second_len_r ,Q}), - .\wrap_second_len_r_reg[3]_0 ({\wrap_cmd_0/wrap_second_len [3],D,\wrap_cmd_0/wrap_second_len [0]}), - .\wrap_second_len_r_reg[3]_1 ({ar_cmd_fsm_0_n_3,\wrap_second_len_r_reg[0] ,ar_cmd_fsm_0_n_4})); + .\wrap_second_len_r_reg[3] (\wrap_second_len_r_reg[3] ), + .\wrap_second_len_r_reg[3]_0 (\wrap_second_len_r_reg[3]_0 )); FDRE \s_arid_r_reg[0] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [19]), + .D(\m_payload_i_reg[64] [23]), .Q(\r_arid_r_reg[11] [0]), .R(1'b0)); FDRE \s_arid_r_reg[10] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [29]), + .D(\m_payload_i_reg[64] [33]), .Q(\r_arid_r_reg[11] [10]), .R(1'b0)); FDRE \s_arid_r_reg[11] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [30]), + .D(\m_payload_i_reg[64] [34]), .Q(\r_arid_r_reg[11] [11]), .R(1'b0)); FDRE \s_arid_r_reg[1] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [20]), + .D(\m_payload_i_reg[64] [24]), .Q(\r_arid_r_reg[11] [1]), .R(1'b0)); FDRE \s_arid_r_reg[2] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [21]), + .D(\m_payload_i_reg[64] [25]), .Q(\r_arid_r_reg[11] [2]), .R(1'b0)); FDRE \s_arid_r_reg[3] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [22]), + .D(\m_payload_i_reg[64] [26]), .Q(\r_arid_r_reg[11] [3]), .R(1'b0)); FDRE \s_arid_r_reg[4] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [23]), + .D(\m_payload_i_reg[64] [27]), .Q(\r_arid_r_reg[11] [4]), .R(1'b0)); FDRE \s_arid_r_reg[5] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [24]), + .D(\m_payload_i_reg[64] [28]), .Q(\r_arid_r_reg[11] [5]), .R(1'b0)); FDRE \s_arid_r_reg[6] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [25]), + .D(\m_payload_i_reg[64] [29]), .Q(\r_arid_r_reg[11] [6]), .R(1'b0)); FDRE \s_arid_r_reg[7] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [26]), + .D(\m_payload_i_reg[64] [30]), .Q(\r_arid_r_reg[11] [7]), .R(1'b0)); FDRE \s_arid_r_reg[8] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [27]), + .D(\m_payload_i_reg[64] [31]), .Q(\r_arid_r_reg[11] [8]), .R(1'b0)); FDRE \s_arid_r_reg[9] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[61] [28]), + .D(\m_payload_i_reg[64] [32]), .Q(\r_arid_r_reg[11] [9]), .R(1'b0)); endmodule @@ -1470,12 +1446,13 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel (\axaddr_incr_reg[3] , sel_first, \wrap_boundary_axaddr_r_reg[0] , - \axlen_cnt_reg[7] , - \axlen_cnt_reg[7]_0 , - b_push, + \axaddr_offset_r_reg[0] , + \state_reg[1]_rep , + \state_reg[1]_rep_0 , \axaddr_offset_r_reg[3] , - E, + b_push, m_axi_awvalid, + E, m_axi_awaddr, in, S, @@ -1483,11 +1460,13 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel O, \m_payload_i_reg[47] , Q, + \axaddr_offset_r_reg[3]_0 , si_rs_awvalid, CO, - \m_payload_i_reg[44] , - \m_payload_i_reg[47]_0 , + \m_payload_i_reg[48] , + \m_payload_i_reg[46] , \axaddr_offset_r_reg[1] , + axaddr_offset, \m_payload_i_reg[35] , \m_payload_i_reg[3] , areset_d1, @@ -1501,24 +1480,27 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel output [3:0]\axaddr_incr_reg[3] ; output sel_first; output \wrap_boundary_axaddr_r_reg[0] ; - output \axlen_cnt_reg[7] ; - output \axlen_cnt_reg[7]_0 ; - output b_push; + output [0:0]\axaddr_offset_r_reg[0] ; + output \state_reg[1]_rep ; + output \state_reg[1]_rep_0 ; output [2:0]\axaddr_offset_r_reg[3] ; - output [0:0]E; + output b_push; output m_axi_awvalid; + output [0:0]E; output [11:0]m_axi_awaddr; - output [15:0]in; + output [19:0]in; output [3:0]S; input aclk; input [3:0]O; input \m_payload_i_reg[47] ; - input [30:0]Q; + input [34:0]Q; + input \axaddr_offset_r_reg[3]_0 ; input si_rs_awvalid; input [0:0]CO; - input \m_payload_i_reg[44] ; - input [2:0]\m_payload_i_reg[47]_0 ; + input \m_payload_i_reg[48] ; + input \m_payload_i_reg[46] ; input \axaddr_offset_r_reg[1] ; + input [2:0]axaddr_offset; input \m_payload_i_reg[35] ; input \m_payload_i_reg[3] ; input areset_d1; @@ -1534,36 +1516,34 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel wire [6:0]D; wire [0:0]E; wire [3:0]O; - wire [30:0]Q; + wire [34:0]Q; wire [3:0]S; wire aclk; wire areset_d1; wire aw_cmd_fsm_0_n_10; - wire aw_cmd_fsm_0_n_11; - wire aw_cmd_fsm_0_n_14; + wire aw_cmd_fsm_0_n_13; + wire aw_cmd_fsm_0_n_19; + wire aw_cmd_fsm_0_n_2; + wire aw_cmd_fsm_0_n_22; wire aw_cmd_fsm_0_n_24; - wire aw_cmd_fsm_0_n_27; - wire aw_cmd_fsm_0_n_28; - wire aw_cmd_fsm_0_n_3; - wire aw_cmd_fsm_0_n_5; + wire aw_cmd_fsm_0_n_25; wire aw_cmd_fsm_0_n_9; wire [3:0]\axaddr_incr_reg[3] ; + wire [2:0]axaddr_offset; + wire [0:0]\axaddr_offset_r_reg[0] ; wire \axaddr_offset_r_reg[1] ; wire [2:0]\axaddr_offset_r_reg[3] ; - wire \axlen_cnt_reg[7] ; - wire \axlen_cnt_reg[7]_0 ; + wire \axaddr_offset_r_reg[3]_0 ; wire b_push; wire cmd_translator_0_n_0; - wire cmd_translator_0_n_1; wire cmd_translator_0_n_10; wire cmd_translator_0_n_11; - wire cmd_translator_0_n_12; wire cmd_translator_0_n_2; wire cmd_translator_0_n_9; wire \cnt_read_reg[0]_rep__0 ; wire \cnt_read_reg[1]_rep__1 ; wire \cnt_read_reg[1]_rep__1_0 ; - wire [15:0]in; + wire [19:0]in; wire incr_next_pending; wire [11:0]m_axi_awaddr; wire m_axi_awready; @@ -1572,72 +1552,72 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel wire \m_payload_i_reg[35] ; wire \m_payload_i_reg[38] ; wire \m_payload_i_reg[3] ; - wire \m_payload_i_reg[44] ; + wire \m_payload_i_reg[46] ; wire \m_payload_i_reg[47] ; - wire [2:0]\m_payload_i_reg[47]_0 ; + wire \m_payload_i_reg[48] ; + wire next; wire sel_first; wire sel_first__0; wire sel_first_i; wire si_rs_awvalid; wire [1:0]state; + wire \state_reg[1]_rep ; + wire \state_reg[1]_rep_0 ; wire \wrap_boundary_axaddr_r_reg[0] ; - wire [0:0]\wrap_cmd_0/axaddr_offset ; wire [0:0]\wrap_cmd_0/axaddr_offset_r ; wire [3:0]\wrap_cmd_0/wrap_second_len ; wire [3:0]\wrap_cmd_0/wrap_second_len_r ; - wire [3:0]wrap_cnt; + wire [3:2]wrap_cnt; wire wrap_next_pending; system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm aw_cmd_fsm_0 - (.D(aw_cmd_fsm_0_n_14), + (.D({wrap_cnt,aw_cmd_fsm_0_n_2}), .E(\wrap_boundary_axaddr_r_reg[0] ), - .Q(Q[15:14]), + .Q(state), .aclk(aclk), .areset_d1(areset_d1), - .\axaddr_incr_reg[11] (aw_cmd_fsm_0_n_24), - .axaddr_offset(\wrap_cmd_0/axaddr_offset ), - .\axaddr_offset_r_reg[0] (state), + .\axaddr_incr_reg[11] (aw_cmd_fsm_0_n_22), + .axaddr_offset(axaddr_offset[2:1]), + .\axaddr_offset_r_reg[0] (\axaddr_offset_r_reg[0] ), + .\axaddr_offset_r_reg[0]_0 (\wrap_cmd_0/axaddr_offset_r ), .\axaddr_offset_r_reg[1] (\axaddr_offset_r_reg[1] ), - .\axaddr_offset_r_reg[3] ({\axaddr_offset_r_reg[3] [2],\wrap_cmd_0/axaddr_offset_r }), - .\axlen_cnt_reg[0] (cmd_translator_0_n_9), - .\axlen_cnt_reg[3] (aw_cmd_fsm_0_n_11), + .\axaddr_offset_r_reg[3] (\axaddr_offset_r_reg[3]_0 ), + .\axlen_cnt_reg[0] (aw_cmd_fsm_0_n_9), + .\axlen_cnt_reg[0]_0 (cmd_translator_0_n_9), + .\axlen_cnt_reg[3] (aw_cmd_fsm_0_n_19), .\axlen_cnt_reg[6] (cmd_translator_0_n_10), - .\axlen_cnt_reg[7] (\axlen_cnt_reg[7] ), - .\axlen_cnt_reg[7]_0 (\axlen_cnt_reg[7]_0 ), - .\axlen_cnt_reg[7]_1 (aw_cmd_fsm_0_n_3), - .\axlen_cnt_reg[7]_2 (b_push), .\cnt_read_reg[0]_rep__0 (\cnt_read_reg[0]_rep__0 ), .\cnt_read_reg[1]_rep__1 (\cnt_read_reg[1]_rep__1 ), .\cnt_read_reg[1]_rep__1_0 (\cnt_read_reg[1]_rep__1_0 ), .incr_next_pending(incr_next_pending), .m_axi_awready(m_axi_awready), .m_axi_awvalid(m_axi_awvalid), - .\m_payload_i_reg[0] (E), + .\m_payload_i_reg[0] (b_push), + .\m_payload_i_reg[0]_0 (E), .\m_payload_i_reg[35] (\m_payload_i_reg[35] ), .\m_payload_i_reg[3] (\m_payload_i_reg[3] ), - .\m_payload_i_reg[44] (\m_payload_i_reg[44] ), - .\m_payload_i_reg[47] (\m_payload_i_reg[47]_0 [2:1]), - .next_pending_r_reg(aw_cmd_fsm_0_n_10), - .next_pending_r_reg_0(cmd_translator_0_n_0), - .next_pending_r_reg_1(cmd_translator_0_n_1), - .s_axburst_eq0_reg(aw_cmd_fsm_0_n_5), - .s_axburst_eq1_reg(aw_cmd_fsm_0_n_9), - .s_axburst_eq1_reg_0(cmd_translator_0_n_12), + .\m_payload_i_reg[44] (Q[15:14]), + .\m_payload_i_reg[48] (\m_payload_i_reg[48] ), + .next(next), + .next_pending_r_reg(cmd_translator_0_n_0), + .s_axburst_eq0_reg(aw_cmd_fsm_0_n_10), + .s_axburst_eq1_reg(aw_cmd_fsm_0_n_13), + .s_axburst_eq1_reg_0(cmd_translator_0_n_11), .sel_first__0(sel_first__0), .sel_first_i(sel_first_i), - .sel_first_reg(aw_cmd_fsm_0_n_27), - .sel_first_reg_0(aw_cmd_fsm_0_n_28), + .sel_first_reg(aw_cmd_fsm_0_n_24), + .sel_first_reg_0(aw_cmd_fsm_0_n_25), .sel_first_reg_1(cmd_translator_0_n_2), .sel_first_reg_2(sel_first), .si_rs_awvalid(si_rs_awvalid), - .\state_reg[1]_0 (cmd_translator_0_n_11), - .\wrap_cnt_r_reg[3] (wrap_cnt), + .\state_reg[1]_rep_0 (\state_reg[1]_rep ), + .\state_reg[1]_rep_1 (\state_reg[1]_rep_0 ), .wrap_next_pending(wrap_next_pending), .\wrap_second_len_r_reg[3] (\wrap_cmd_0/wrap_second_len ), .\wrap_second_len_r_reg[3]_0 (\wrap_cmd_0/wrap_second_len_r )); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator cmd_translator_0 (.CO(CO), - .D(aw_cmd_fsm_0_n_14), + .D({wrap_cnt,aw_cmd_fsm_0_n_2}), .E(\wrap_boundary_axaddr_r_reg[0] ), .O(O), .Q(cmd_translator_0_n_9), @@ -1645,109 +1625,109 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel .aclk(aclk), .\axaddr_incr_reg[11] (sel_first), .\axaddr_incr_reg[3] (\axaddr_incr_reg[3] ), + .\axaddr_offset_r_reg[1] (\axaddr_offset_r_reg[1] ), .\axaddr_offset_r_reg[3] ({\axaddr_offset_r_reg[3] ,\wrap_cmd_0/axaddr_offset_r }), - .\axlen_cnt_reg[3] (cmd_translator_0_n_10), - .\cnt_read_reg[1]_rep__1 (aw_cmd_fsm_0_n_10), + .\axaddr_offset_r_reg[3]_0 (\axaddr_offset_r_reg[3]_0 ), + .\axlen_cnt_reg[6] (cmd_translator_0_n_10), + .\cnt_read_reg[0]_rep__0 (b_push), .incr_next_pending(incr_next_pending), .m_axi_awaddr(m_axi_awaddr), .\m_payload_i_reg[11] (\m_payload_i_reg[11] ), .\m_payload_i_reg[38] (\m_payload_i_reg[38] ), - .\m_payload_i_reg[39] (aw_cmd_fsm_0_n_5), - .\m_payload_i_reg[39]_0 (aw_cmd_fsm_0_n_9), + .\m_payload_i_reg[39] (aw_cmd_fsm_0_n_10), + .\m_payload_i_reg[39]_0 (aw_cmd_fsm_0_n_13), + .\m_payload_i_reg[46] (\m_payload_i_reg[46] ), .\m_payload_i_reg[47] (\m_payload_i_reg[47] ), - .\m_payload_i_reg[47]_0 (Q[18:0]), - .\m_payload_i_reg[47]_1 ({\m_payload_i_reg[47]_0 ,\wrap_cmd_0/axaddr_offset }), + .\m_payload_i_reg[47]_0 ({axaddr_offset,\axaddr_offset_r_reg[0] }), + .\m_payload_i_reg[51] (Q[22:0]), .\m_payload_i_reg[6] (D), - .m_valid_i_reg(aw_cmd_fsm_0_n_11), - .m_valid_i_reg_0(aw_cmd_fsm_0_n_3), + .m_valid_i_reg(aw_cmd_fsm_0_n_19), + .next(next), .next_pending_r_reg(cmd_translator_0_n_0), - .next_pending_r_reg_0(cmd_translator_0_n_1), - .next_pending_r_reg_1(cmd_translator_0_n_11), .sel_first__0(sel_first__0), .sel_first_i(sel_first_i), .sel_first_reg_0(cmd_translator_0_n_2), - .sel_first_reg_1(aw_cmd_fsm_0_n_24), - .sel_first_reg_2(aw_cmd_fsm_0_n_27), - .sel_first_reg_3(aw_cmd_fsm_0_n_28), + .sel_first_reg_1(aw_cmd_fsm_0_n_22), + .sel_first_reg_2(aw_cmd_fsm_0_n_24), + .sel_first_reg_3(aw_cmd_fsm_0_n_25), .si_rs_awvalid(si_rs_awvalid), - .\state_reg[0]_rep (cmd_translator_0_n_12), - .\state_reg[0]_rep_0 (b_push), + .\state_reg[0] (aw_cmd_fsm_0_n_9), + .\state_reg[0]_rep (cmd_translator_0_n_11), .\state_reg[1] (state), .wrap_next_pending(wrap_next_pending), .\wrap_second_len_r_reg[3] (\wrap_cmd_0/wrap_second_len_r ), - .\wrap_second_len_r_reg[3]_0 (\wrap_cmd_0/wrap_second_len ), - .\wrap_second_len_r_reg[3]_1 (wrap_cnt)); + .\wrap_second_len_r_reg[3]_0 (\wrap_cmd_0/wrap_second_len )); FDRE \s_awid_r_reg[0] (.C(aclk), .CE(1'b1), - .D(Q[19]), - .Q(in[4]), + .D(Q[23]), + .Q(in[8]), .R(1'b0)); FDRE \s_awid_r_reg[10] (.C(aclk), .CE(1'b1), - .D(Q[29]), - .Q(in[14]), + .D(Q[33]), + .Q(in[18]), .R(1'b0)); FDRE \s_awid_r_reg[11] (.C(aclk), .CE(1'b1), - .D(Q[30]), - .Q(in[15]), + .D(Q[34]), + .Q(in[19]), .R(1'b0)); FDRE \s_awid_r_reg[1] (.C(aclk), .CE(1'b1), - .D(Q[20]), - .Q(in[5]), + .D(Q[24]), + .Q(in[9]), .R(1'b0)); FDRE \s_awid_r_reg[2] (.C(aclk), .CE(1'b1), - .D(Q[21]), - .Q(in[6]), + .D(Q[25]), + .Q(in[10]), .R(1'b0)); FDRE \s_awid_r_reg[3] (.C(aclk), .CE(1'b1), - .D(Q[22]), - .Q(in[7]), + .D(Q[26]), + .Q(in[11]), .R(1'b0)); FDRE \s_awid_r_reg[4] (.C(aclk), .CE(1'b1), - .D(Q[23]), - .Q(in[8]), + .D(Q[27]), + .Q(in[12]), .R(1'b0)); FDRE \s_awid_r_reg[5] (.C(aclk), .CE(1'b1), - .D(Q[24]), - .Q(in[9]), + .D(Q[28]), + .Q(in[13]), .R(1'b0)); FDRE \s_awid_r_reg[6] (.C(aclk), .CE(1'b1), - .D(Q[25]), - .Q(in[10]), + .D(Q[29]), + .Q(in[14]), .R(1'b0)); FDRE \s_awid_r_reg[7] (.C(aclk), .CE(1'b1), - .D(Q[26]), - .Q(in[11]), + .D(Q[30]), + .Q(in[15]), .R(1'b0)); FDRE \s_awid_r_reg[8] (.C(aclk), .CE(1'b1), - .D(Q[27]), - .Q(in[12]), + .D(Q[31]), + .Q(in[16]), .R(1'b0)); FDRE \s_awid_r_reg[9] (.C(aclk), .CE(1'b1), - .D(Q[28]), - .Q(in[13]), + .D(Q[32]), + .Q(in[17]), .R(1'b0)); FDRE \s_awlen_r_reg[0] (.C(aclk), @@ -1773,6 +1753,30 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel .D(Q[18]), .Q(in[3]), .R(1'b0)); + FDRE \s_awlen_r_reg[4] + (.C(aclk), + .CE(1'b1), + .D(Q[19]), + .Q(in[4]), + .R(1'b0)); + FDRE \s_awlen_r_reg[5] + (.C(aclk), + .CE(1'b1), + .D(Q[20]), + .Q(in[5]), + .R(1'b0)); + FDRE \s_awlen_r_reg[6] + (.C(aclk), + .CE(1'b1), + .D(Q[21]), + .Q(in[6]), + .R(1'b0)); + FDRE \s_awlen_r_reg[7] + (.C(aclk), + .CE(1'b1), + .D(Q[22]), + .Q(in[7]), + .R(1'b0)); endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_b_channel" *) @@ -1805,20 +1809,19 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel input m_axi_bvalid; input areset_d1; input si_rs_bready; - input [15:0]in; + input [19:0]in; input [1:0]m_axi_bresp; wire aclk; wire areset_d1; wire b_push; - wire bid_fifo_0_n_5; wire \bresp_cnt[7]_i_3_n_0 ; wire [7:0]bresp_cnt_reg__0; + wire bresp_fifo_0_n_2; wire bresp_push; - wire [1:0]cnt_read; wire \cnt_read_reg[0]_rep__0 ; wire \cnt_read_reg[1]_rep__1 ; - wire [15:0]in; + wire [19:0]in; wire m_axi_bready; wire [1:0]m_axi_bresp; wire m_axi_bvalid; @@ -1845,30 +1848,26 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel .areset_d1(areset_d1), .b_push(b_push), .bresp_push(bresp_push), - .bvalid_i_reg(bid_fifo_0_n_5), .\cnt_read_reg[0]_rep__0_0 (\cnt_read_reg[0]_rep__0 ), - .\cnt_read_reg[1]_0 (cnt_read), .\cnt_read_reg[1]_rep__1_0 (\cnt_read_reg[1]_rep__1 ), .in(in), .mhandshake_r(mhandshake_r), .out(out), .shandshake_r(shandshake_r), - .si_rs_bready(si_rs_bready), - .si_rs_bvalid(si_rs_bvalid), .\state_reg[0]_rep (\state_reg[0]_rep )); LUT1 #( .INIT(2'h1)) \bresp_cnt[0]_i_1 (.I0(bresp_cnt_reg__0[0]), .O(p_0_in[0])); - (* SOFT_HLUTNM = "soft_lutpair113" *) + (* SOFT_HLUTNM = "soft_lutpair117" *) LUT2 #( .INIT(4'h6)) \bresp_cnt[1]_i_1 (.I0(bresp_cnt_reg__0[0]), .I1(bresp_cnt_reg__0[1]), .O(p_0_in[1])); - (* SOFT_HLUTNM = "soft_lutpair113" *) + (* SOFT_HLUTNM = "soft_lutpair117" *) LUT3 #( .INIT(8'h6A)) \bresp_cnt[2]_i_1 @@ -1876,7 +1875,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel .I1(bresp_cnt_reg__0[1]), .I2(bresp_cnt_reg__0[0]), .O(p_0_in[2])); - (* SOFT_HLUTNM = "soft_lutpair111" *) + (* SOFT_HLUTNM = "soft_lutpair115" *) LUT4 #( .INIT(16'h6AAA)) \bresp_cnt[3]_i_1 @@ -1885,7 +1884,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel .I2(bresp_cnt_reg__0[1]), .I3(bresp_cnt_reg__0[2]), .O(p_0_in[3])); - (* SOFT_HLUTNM = "soft_lutpair111" *) + (* SOFT_HLUTNM = "soft_lutpair115" *) LUT5 #( .INIT(32'h6AAAAAAA)) \bresp_cnt[4]_i_1 @@ -1905,14 +1904,14 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel .I4(bresp_cnt_reg__0[2]), .I5(bresp_cnt_reg__0[4]), .O(p_0_in[5])); - (* SOFT_HLUTNM = "soft_lutpair112" *) + (* SOFT_HLUTNM = "soft_lutpair116" *) LUT2 #( .INIT(4'h6)) \bresp_cnt[6]_i_1 (.I0(bresp_cnt_reg__0[6]), .I1(\bresp_cnt[7]_i_3_n_0 ), .O(p_0_in[6])); - (* SOFT_HLUTNM = "soft_lutpair112" *) + (* SOFT_HLUTNM = "soft_lutpair116" *) LUT3 #( .INIT(8'h6A)) \bresp_cnt[7]_i_2 @@ -1979,21 +1978,25 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel .Q(bresp_cnt_reg__0[7]), .R(s_bresp_acc0)); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized0 bresp_fifo_0 - (.Q(cnt_read), - .aclk(aclk), + (.aclk(aclk), .areset_d1(areset_d1), .bresp_push(bresp_push), + .bvalid_i_reg(bresp_fifo_0_n_2), + .\cnt_read_reg[0]_rep__0 (\cnt_read_reg[0]_rep__0 ), + .\cnt_read_reg[1]_rep__1 (\cnt_read_reg[1]_rep__1 ), .in({\s_bresp_acc_reg_n_0_[1] ,\s_bresp_acc_reg_n_0_[0] }), .m_axi_bready(m_axi_bready), .m_axi_bvalid(m_axi_bvalid), .mhandshake(mhandshake), .mhandshake_r(mhandshake_r), .shandshake_r(shandshake_r), + .si_rs_bready(si_rs_bready), + .si_rs_bvalid(si_rs_bvalid), .\skid_buffer_reg[1] (\skid_buffer_reg[1] )); FDRE bvalid_i_reg (.C(aclk), .CE(1'b1), - .D(bid_fifo_0_n_5), + .D(bresp_fifo_0_n_2), .Q(si_rs_bvalid), .R(1'b0)); FDRE mhandshake_r_reg @@ -2043,22 +2046,20 @@ endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_cmd_translator" *) module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator (next_pending_r_reg, - next_pending_r_reg_0, + wrap_next_pending, sel_first_reg_0, \axaddr_incr_reg[3] , \axaddr_incr_reg[11] , sel_first__0, Q, - \axlen_cnt_reg[3] , - next_pending_r_reg_1, + \axlen_cnt_reg[6] , \state_reg[0]_rep , m_axi_awaddr, - \axaddr_offset_r_reg[3] , \wrap_second_len_r_reg[3] , + \axaddr_offset_r_reg[3] , S, incr_next_pending, aclk, - wrap_next_pending, sel_first_i, \m_payload_i_reg[39] , \m_payload_i_reg[39]_0 , @@ -2068,38 +2069,38 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator sel_first_reg_3, \m_payload_i_reg[47] , E, - \m_payload_i_reg[47]_0 , + \m_payload_i_reg[51] , CO, + next, + \m_payload_i_reg[46] , \state_reg[1] , si_rs_awvalid, - \cnt_read_reg[1]_rep__1 , \m_payload_i_reg[11] , \m_payload_i_reg[38] , - m_valid_i_reg, D, - m_valid_i_reg_0, - \m_payload_i_reg[47]_1 , + \axaddr_offset_r_reg[3]_0 , + \axaddr_offset_r_reg[1] , + m_valid_i_reg, + \state_reg[0] , + \m_payload_i_reg[47]_0 , \wrap_second_len_r_reg[3]_0 , - \wrap_second_len_r_reg[3]_1 , \m_payload_i_reg[6] , - \state_reg[0]_rep_0 ); + \cnt_read_reg[0]_rep__0 ); output next_pending_r_reg; - output next_pending_r_reg_0; + output wrap_next_pending; output sel_first_reg_0; output [3:0]\axaddr_incr_reg[3] ; output \axaddr_incr_reg[11] ; output sel_first__0; output [0:0]Q; - output \axlen_cnt_reg[3] ; - output next_pending_r_reg_1; + output \axlen_cnt_reg[6] ; output \state_reg[0]_rep ; output [11:0]m_axi_awaddr; - output [3:0]\axaddr_offset_r_reg[3] ; output [3:0]\wrap_second_len_r_reg[3] ; + output [3:0]\axaddr_offset_r_reg[3] ; output [3:0]S; input incr_next_pending; input aclk; - input wrap_next_pending; input sel_first_i; input \m_payload_i_reg[39] ; input \m_payload_i_reg[39]_0 ; @@ -2109,24 +2110,26 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator input sel_first_reg_3; input \m_payload_i_reg[47] ; input [0:0]E; - input [18:0]\m_payload_i_reg[47]_0 ; + input [22:0]\m_payload_i_reg[51] ; input [0:0]CO; + input next; + input \m_payload_i_reg[46] ; input [1:0]\state_reg[1] ; input si_rs_awvalid; - input \cnt_read_reg[1]_rep__1 ; input [7:0]\m_payload_i_reg[11] ; input \m_payload_i_reg[38] ; + input [2:0]D; + input \axaddr_offset_r_reg[3]_0 ; + input \axaddr_offset_r_reg[1] ; input [0:0]m_valid_i_reg; - input [0:0]D; - input m_valid_i_reg_0; - input [3:0]\m_payload_i_reg[47]_1 ; + input [0:0]\state_reg[0] ; + input [3:0]\m_payload_i_reg[47]_0 ; input [3:0]\wrap_second_len_r_reg[3]_0 ; - input [3:0]\wrap_second_len_r_reg[3]_1 ; input [6:0]\m_payload_i_reg[6] ; - input \state_reg[0]_rep_0 ; + input \cnt_read_reg[0]_rep__0 ; wire [0:0]CO; - wire [0:0]D; + wire [2:0]D; wire [0:0]E; wire [3:0]O; wire [0:0]Q; @@ -2135,24 +2138,25 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator wire [11:4]axaddr_incr_reg; wire [3:0]\axaddr_incr_reg[3] ; wire axaddr_incr_reg_11__s_net_1; + wire \axaddr_offset_r_reg[1] ; wire [3:0]\axaddr_offset_r_reg[3] ; - wire \axlen_cnt_reg[3] ; - wire \cnt_read_reg[1]_rep__1 ; + wire \axaddr_offset_r_reg[3]_0 ; + wire \axlen_cnt_reg[6] ; + wire \cnt_read_reg[0]_rep__0 ; wire incr_next_pending; wire [11:0]m_axi_awaddr; wire [7:0]\m_payload_i_reg[11] ; wire \m_payload_i_reg[38] ; wire \m_payload_i_reg[39] ; wire \m_payload_i_reg[39]_0 ; + wire \m_payload_i_reg[46] ; wire \m_payload_i_reg[47] ; - wire [18:0]\m_payload_i_reg[47]_0 ; - wire [3:0]\m_payload_i_reg[47]_1 ; + wire [3:0]\m_payload_i_reg[47]_0 ; + wire [22:0]\m_payload_i_reg[51] ; wire [6:0]\m_payload_i_reg[6] ; wire [0:0]m_valid_i_reg; - wire m_valid_i_reg_0; + wire next; wire next_pending_r_reg; - wire next_pending_r_reg_0; - wire next_pending_r_reg_1; wire s_axburst_eq0; wire s_axburst_eq1; wire sel_first__0; @@ -2162,18 +2166,16 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator wire sel_first_reg_2; wire sel_first_reg_3; wire si_rs_awvalid; + wire [0:0]\state_reg[0] ; wire \state_reg[0]_rep ; - wire \state_reg[0]_rep_0 ; wire [1:0]\state_reg[1] ; wire wrap_next_pending; wire [3:0]\wrap_second_len_r_reg[3] ; wire [3:0]\wrap_second_len_r_reg[3]_0 ; - wire [3:0]\wrap_second_len_r_reg[3]_1 ; assign \axaddr_incr_reg[11] = axaddr_incr_reg_11__s_net_1; system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd incr_cmd_0 (.CO(CO), - .D(D), .E(E), .O(O), .Q(Q), @@ -2182,23 +2184,23 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator .axaddr_incr_reg(axaddr_incr_reg), .\axaddr_incr_reg[11]_0 (axaddr_incr_reg_11__s_net_1), .\axaddr_incr_reg[3]_0 (\axaddr_incr_reg[3] ), - .\axlen_cnt_reg[3]_0 (\axlen_cnt_reg[3] ), + .\axlen_cnt_reg[6]_0 (\axlen_cnt_reg[6] ), + .\cnt_read_reg[0]_rep__0 (\cnt_read_reg[0]_rep__0 ), .incr_next_pending(incr_next_pending), .\m_payload_i_reg[11] (\m_payload_i_reg[11] ), - .\m_payload_i_reg[46] ({\m_payload_i_reg[47]_0 [17:16],\m_payload_i_reg[47]_0 [13:12],\m_payload_i_reg[47]_0 [3:0]}), .\m_payload_i_reg[47] (\m_payload_i_reg[47] ), + .\m_payload_i_reg[51] ({\m_payload_i_reg[51] [22:19],\m_payload_i_reg[51] [17:16],\m_payload_i_reg[51] [13:12],\m_payload_i_reg[51] [3:0]}), .m_valid_i_reg(m_valid_i_reg), - .m_valid_i_reg_0(m_valid_i_reg_0), .next_pending_r_reg_0(next_pending_r_reg), .sel_first_reg_0(sel_first_reg_1), .sel_first_reg_1(sel_first_reg_2), - .\state_reg[0]_rep (\state_reg[0]_rep_0 ), + .\state_reg[0] (\state_reg[0] ), .\state_reg[1] (\state_reg[1] )); LUT3 #( .INIT(8'hB8)) \memory_reg[3][0]_srl4_i_2 (.I0(s_axburst_eq1), - .I1(\m_payload_i_reg[47]_0 [14]), + .I1(\m_payload_i_reg[51] [14]), .I2(s_axburst_eq0), .O(\state_reg[0]_rep )); FDRE s_axburst_eq0_reg @@ -2220,28 +2222,29 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator .Q(sel_first_reg_0), .R(1'b0)); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd wrap_cmd_0 - (.E(E), + (.D(D), + .E(E), .aclk(aclk), .axaddr_incr_reg(axaddr_incr_reg), .\axaddr_incr_reg[3] (\axaddr_incr_reg[3] ), + .\axaddr_offset_r_reg[1]_0 (\axaddr_offset_r_reg[1] ), .\axaddr_offset_r_reg[3]_0 (\axaddr_offset_r_reg[3] ), - .\cnt_read_reg[1]_rep__1 (\cnt_read_reg[1]_rep__1 ), + .\axaddr_offset_r_reg[3]_1 (\axaddr_offset_r_reg[3]_0 ), .m_axi_awaddr(m_axi_awaddr), .\m_payload_i_reg[38] (\m_payload_i_reg[38] ), - .\m_payload_i_reg[47] (\m_payload_i_reg[47]_0 ), - .\m_payload_i_reg[47]_0 (\m_payload_i_reg[47]_1 ), + .\m_payload_i_reg[46] (\m_payload_i_reg[46] ), + .\m_payload_i_reg[47] (\m_payload_i_reg[51] [18:0]), + .\m_payload_i_reg[47]_0 (\m_payload_i_reg[47]_0 ), .\m_payload_i_reg[6] (\m_payload_i_reg[6] ), .m_valid_i_reg(m_valid_i_reg), - .next_pending_r_reg_0(next_pending_r_reg_0), - .next_pending_r_reg_1(next_pending_r_reg_1), + .next(next), .sel_first_reg_0(sel_first__0), .sel_first_reg_1(sel_first_reg_3), .si_rs_awvalid(si_rs_awvalid), .\state_reg[1] (\state_reg[1] ), .wrap_next_pending(wrap_next_pending), .\wrap_second_len_r_reg[3]_0 (\wrap_second_len_r_reg[3] ), - .\wrap_second_len_r_reg[3]_1 (\wrap_second_len_r_reg[3]_0 ), - .\wrap_second_len_r_reg[3]_2 (\wrap_second_len_r_reg[3]_1 )); + .\wrap_second_len_r_reg[3]_1 (\wrap_second_len_r_reg[3]_0 )); endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_cmd_translator" *) @@ -2252,14 +2255,12 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ \axaddr_incr_reg[3] , \axaddr_incr_reg[11] , sel_first_reg_1, - Q, - \axlen_cnt_reg[1] , next_pending_r_reg_0, r_rlast, - \state_reg[0]_rep , + \state_reg[1]_rep , m_axi_araddr, - \wrap_second_len_r_reg[3] , \axaddr_offset_r_reg[3] , + \wrap_second_len_r_reg[3] , S, aclk, wrap_next_pending, @@ -2271,24 +2272,22 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ sel_first_reg_3, sel_first_reg_4, \m_payload_i_reg[47] , - E, - \m_payload_i_reg[47]_0 , - \state_reg[1] , + Q, si_rs_arvalid, + \m_payload_i_reg[51] , + E, CO, - \state_reg[1]_rep , - \m_payload_i_reg[44] , + \state_reg[0]_rep , + \state_reg[1]_rep_0 , + \state_reg[1]_rep_1 , + \m_payload_i_reg[48] , \m_payload_i_reg[3] , \m_payload_i_reg[11] , \m_payload_i_reg[38] , - \axaddr_offset_r_reg[3]_0 , - \m_payload_i_reg[35] , m_valid_i_reg, + axaddr_offset, D, - \state_reg[1]_0 , - \m_payload_i_reg[47]_1 , \wrap_second_len_r_reg[3]_0 , - \wrap_second_len_r_reg[3]_1 , \m_payload_i_reg[6] , m_axi_arready); output incr_next_pending; @@ -2297,14 +2296,12 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ output [3:0]\axaddr_incr_reg[3] ; output \axaddr_incr_reg[11] ; output sel_first_reg_1; - output [0:0]Q; - output \axlen_cnt_reg[1] ; output next_pending_r_reg_0; output r_rlast; - output \state_reg[0]_rep ; + output \state_reg[1]_rep ; output [11:0]m_axi_araddr; - output [3:0]\wrap_second_len_r_reg[3] ; output [3:0]\axaddr_offset_r_reg[3] ; + output [3:0]\wrap_second_len_r_reg[3] ; output [3:0]S; input aclk; input wrap_next_pending; @@ -2316,53 +2313,48 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ input sel_first_reg_3; input sel_first_reg_4; input \m_payload_i_reg[47] ; - input [0:0]E; - input [18:0]\m_payload_i_reg[47]_0 ; - input [1:0]\state_reg[1] ; + input [1:0]Q; input si_rs_arvalid; + input [22:0]\m_payload_i_reg[51] ; + input [0:0]E; input [0:0]CO; - input \state_reg[1]_rep ; - input \m_payload_i_reg[44] ; + input \state_reg[0]_rep ; + input \state_reg[1]_rep_0 ; + input \state_reg[1]_rep_1 ; + input \m_payload_i_reg[48] ; input [3:0]\m_payload_i_reg[3] ; input [3:0]\m_payload_i_reg[11] ; input \m_payload_i_reg[38] ; - input \axaddr_offset_r_reg[3]_0 ; - input \m_payload_i_reg[35] ; input [0:0]m_valid_i_reg; - input [0:0]D; - input \state_reg[1]_0 ; - input [3:0]\m_payload_i_reg[47]_1 ; + input [3:0]axaddr_offset; + input [3:0]D; input [3:0]\wrap_second_len_r_reg[3]_0 ; - input [2:0]\wrap_second_len_r_reg[3]_1 ; input [6:0]\m_payload_i_reg[6] ; input m_axi_arready; wire [0:0]CO; - wire [0:0]D; + wire [3:0]D; wire [0:0]E; wire [3:0]O; - wire [0:0]Q; + wire [1:0]Q; wire [3:0]S; wire aclk; wire [11:4]axaddr_incr_reg; wire [3:0]\axaddr_incr_reg[3] ; wire axaddr_incr_reg_11__s_net_1; + wire [3:0]axaddr_offset; wire [3:0]\axaddr_offset_r_reg[3] ; - wire \axaddr_offset_r_reg[3]_0 ; - wire \axlen_cnt_reg[1] ; wire incr_next_pending; wire [11:0]m_axi_araddr; wire m_axi_arready; wire [3:0]\m_payload_i_reg[11] ; - wire \m_payload_i_reg[35] ; wire \m_payload_i_reg[38] ; wire \m_payload_i_reg[39] ; wire \m_payload_i_reg[39]_0 ; wire [3:0]\m_payload_i_reg[3] ; - wire \m_payload_i_reg[44] ; wire \m_payload_i_reg[47] ; - wire [18:0]\m_payload_i_reg[47]_0 ; - wire [3:0]\m_payload_i_reg[47]_1 ; + wire \m_payload_i_reg[48] ; + wire [22:0]\m_payload_i_reg[51] ; wire [6:0]\m_payload_i_reg[6] ; wire [0:0]m_valid_i_reg; wire next_pending_r_reg; @@ -2378,18 +2370,16 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ wire sel_first_reg_4; wire si_rs_arvalid; wire \state_reg[0]_rep ; - wire [1:0]\state_reg[1] ; - wire \state_reg[1]_0 ; wire \state_reg[1]_rep ; + wire \state_reg[1]_rep_0 ; + wire \state_reg[1]_rep_1 ; wire wrap_next_pending; wire [3:0]\wrap_second_len_r_reg[3] ; wire [3:0]\wrap_second_len_r_reg[3]_0 ; - wire [2:0]\wrap_second_len_r_reg[3]_1 ; assign \axaddr_incr_reg[11] = axaddr_incr_reg_11__s_net_1; system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 incr_cmd_0 (.CO(CO), - .D(D), .E(E), .O(O), .Q(Q), @@ -2398,26 +2388,24 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ .axaddr_incr_reg(axaddr_incr_reg), .\axaddr_incr_reg[11]_0 (axaddr_incr_reg_11__s_net_1), .\axaddr_incr_reg[3]_0 (\axaddr_incr_reg[3] ), - .\axlen_cnt_reg[1]_0 (\axlen_cnt_reg[1] ), .incr_next_pending(incr_next_pending), .m_axi_arready(m_axi_arready), .\m_payload_i_reg[11] (\m_payload_i_reg[11] ), .\m_payload_i_reg[3] (\m_payload_i_reg[3] ), - .\m_payload_i_reg[44] (\m_payload_i_reg[44] ), - .\m_payload_i_reg[46] ({\m_payload_i_reg[47]_0 [17:16],\m_payload_i_reg[47]_0 [13:12],\m_payload_i_reg[47]_0 [3:0]}), .\m_payload_i_reg[47] (\m_payload_i_reg[47] ), + .\m_payload_i_reg[48] (\m_payload_i_reg[48] ), + .\m_payload_i_reg[51] ({\m_payload_i_reg[51] [22:19],\m_payload_i_reg[51] [17:15],\m_payload_i_reg[51] [13:12],\m_payload_i_reg[51] [3:0]}), .m_valid_i_reg(m_valid_i_reg), .sel_first_reg_0(sel_first_reg_2), .sel_first_reg_1(sel_first_reg_3), - .\state_reg[1] (\state_reg[1]_0 ), - .\state_reg[1]_0 (\state_reg[1] ), - .\state_reg[1]_rep (\state_reg[1]_rep )); - (* SOFT_HLUTNM = "soft_lutpair8" *) + .si_rs_arvalid(si_rs_arvalid), + .\state_reg[1]_rep (\state_reg[1]_rep_1 )); + (* SOFT_HLUTNM = "soft_lutpair7" *) LUT3 #( .INIT(8'h1D)) r_rlast_r_i_1 (.I0(s_axburst_eq0), - .I1(\m_payload_i_reg[47]_0 [14]), + .I1(\m_payload_i_reg[51] [14]), .I2(s_axburst_eq1), .O(r_rlast)); FDRE s_axburst_eq0_reg @@ -2438,26 +2426,25 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ .D(sel_first_i), .Q(sel_first_reg_0), .R(1'b0)); - (* SOFT_HLUTNM = "soft_lutpair8" *) + (* SOFT_HLUTNM = "soft_lutpair7" *) LUT3 #( .INIT(8'hB8)) \state[1]_i_2 (.I0(s_axburst_eq1), - .I1(\m_payload_i_reg[47]_0 [14]), + .I1(\m_payload_i_reg[51] [14]), .I2(s_axburst_eq0), - .O(\state_reg[0]_rep )); + .O(\state_reg[1]_rep )); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 wrap_cmd_0 - (.E(E), + (.D(D), + .E(E), .aclk(aclk), .axaddr_incr_reg(axaddr_incr_reg), .\axaddr_incr_reg[3] (\axaddr_incr_reg[3] ), + .axaddr_offset(axaddr_offset), .\axaddr_offset_r_reg[3]_0 (\axaddr_offset_r_reg[3] ), - .\axaddr_offset_r_reg[3]_1 (\axaddr_offset_r_reg[3]_0 ), .m_axi_araddr(m_axi_araddr), - .\m_payload_i_reg[35] (\m_payload_i_reg[35] ), .\m_payload_i_reg[38] (\m_payload_i_reg[38] ), - .\m_payload_i_reg[47] (\m_payload_i_reg[47]_0 ), - .\m_payload_i_reg[47]_0 (\m_payload_i_reg[47]_1 ), + .\m_payload_i_reg[47] (\m_payload_i_reg[51] [18:0]), .\m_payload_i_reg[6] (\m_payload_i_reg[6] ), .m_valid_i_reg(m_valid_i_reg), .next_pending_r_reg_0(next_pending_r_reg), @@ -2465,12 +2452,12 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ .sel_first_reg_0(sel_first_reg_1), .sel_first_reg_1(sel_first_reg_4), .si_rs_arvalid(si_rs_arvalid), - .\state_reg[1] (\state_reg[1] ), - .\state_reg[1]_rep (\state_reg[1]_rep ), + .\state_reg[0]_rep (\state_reg[0]_rep ), + .\state_reg[1]_rep (\state_reg[1]_rep_0 ), + .\state_reg[1]_rep_0 (\state_reg[1]_rep_1 ), .wrap_next_pending(wrap_next_pending), .\wrap_second_len_r_reg[3]_0 (\wrap_second_len_r_reg[3] ), - .\wrap_second_len_r_reg[3]_1 (\wrap_second_len_r_reg[3]_0 ), - .\wrap_second_len_r_reg[3]_2 (\wrap_second_len_r_reg[3]_1 )); + .\wrap_second_len_r_reg[3]_1 (\wrap_second_len_r_reg[3]_0 )); endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_incr_cmd" *) @@ -2480,7 +2467,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd axaddr_incr_reg, \axaddr_incr_reg[11]_0 , Q, - \axlen_cnt_reg[3]_0 , + \axlen_cnt_reg[6]_0 , S, incr_next_pending, aclk, @@ -2490,19 +2477,18 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd \m_payload_i_reg[47] , CO, E, - \m_payload_i_reg[46] , + \m_payload_i_reg[51] , \m_payload_i_reg[11] , m_valid_i_reg, - D, - m_valid_i_reg_0, + \state_reg[0] , \state_reg[1] , - \state_reg[0]_rep ); + \cnt_read_reg[0]_rep__0 ); output next_pending_r_reg_0; output [3:0]\axaddr_incr_reg[3]_0 ; output [7:0]axaddr_incr_reg; output \axaddr_incr_reg[11]_0 ; output [0:0]Q; - output \axlen_cnt_reg[3]_0 ; + output \axlen_cnt_reg[6]_0 ; output [3:0]S; input incr_next_pending; input aclk; @@ -2512,16 +2498,14 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd input \m_payload_i_reg[47] ; input [0:0]CO; input [0:0]E; - input [7:0]\m_payload_i_reg[46] ; + input [11:0]\m_payload_i_reg[51] ; input [7:0]\m_payload_i_reg[11] ; input [0:0]m_valid_i_reg; - input [0:0]D; - input m_valid_i_reg_0; + input [0:0]\state_reg[0] ; input [1:0]\state_reg[1] ; - input \state_reg[0]_rep ; + input \cnt_read_reg[0]_rep__0 ; wire [0:0]CO; - wire [0:0]D; wire [0:0]E; wire [3:0]O; wire [0:0]Q; @@ -2555,13 +2539,18 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd wire \axaddr_incr_reg[8]_i_1_n_7 ; wire \axlen_cnt[1]_i_1_n_0 ; wire \axlen_cnt[2]_i_1_n_0 ; - wire \axlen_cnt[3]_i_2_n_0 ; - wire \axlen_cnt[4]_i_1__0_n_0 ; - wire \axlen_cnt[5]_i_1__0_n_0 ; - wire \axlen_cnt[6]_i_1__0_n_0 ; + wire \axlen_cnt[3]_i_1_n_0 ; + wire \axlen_cnt[4]_i_1_n_0 ; + wire \axlen_cnt[4]_i_2_n_0 ; + wire \axlen_cnt[4]_i_3_n_0 ; + wire \axlen_cnt[4]_i_4_n_0 ; + wire \axlen_cnt[5]_i_1_n_0 ; + wire \axlen_cnt[6]_i_1_n_0 ; + wire \axlen_cnt[6]_i_2_n_0 ; wire \axlen_cnt[7]_i_2_n_0 ; - wire \axlen_cnt[7]_i_3__0_n_0 ; - wire \axlen_cnt_reg[3]_0 ; + wire \axlen_cnt[7]_i_3_n_0 ; + wire \axlen_cnt[7]_i_4_n_0 ; + wire \axlen_cnt_reg[6]_0 ; wire \axlen_cnt_reg_n_0_[1] ; wire \axlen_cnt_reg_n_0_[2] ; wire \axlen_cnt_reg_n_0_[3] ; @@ -2569,59 +2558,58 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd wire \axlen_cnt_reg_n_0_[5] ; wire \axlen_cnt_reg_n_0_[6] ; wire \axlen_cnt_reg_n_0_[7] ; + wire \cnt_read_reg[0]_rep__0 ; wire incr_next_pending; wire [7:0]\m_payload_i_reg[11] ; - wire [7:0]\m_payload_i_reg[46] ; wire \m_payload_i_reg[47] ; + wire [11:0]\m_payload_i_reg[51] ; wire [0:0]m_valid_i_reg; - wire m_valid_i_reg_0; - wire next_pending_r_i_5_n_0; wire next_pending_r_reg_0; wire sel_first_reg_0; wire sel_first_reg_1; - wire \state_reg[0]_rep ; + wire [0:0]\state_reg[0] ; wire [1:0]\state_reg[1] ; wire [3:3]\NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED ; LUT6 #( - .INIT(64'h559AAAAAAAAAAAAA)) + .INIT(64'h6A6A6A6AAA6AAAAA)) \axaddr_incr[0]_i_15 - (.I0(\m_payload_i_reg[46] [3]), - .I1(\state_reg[1] [0]), - .I2(\state_reg[1] [1]), - .I3(\state_reg[0]_rep ), - .I4(\m_payload_i_reg[46] [4]), - .I5(\m_payload_i_reg[46] [5]), + (.I0(\m_payload_i_reg[51] [3]), + .I1(\m_payload_i_reg[51] [4]), + .I2(\m_payload_i_reg[51] [5]), + .I3(\state_reg[1] [0]), + .I4(\state_reg[1] [1]), + .I5(\cnt_read_reg[0]_rep__0 ), .O(S[3])); LUT6 #( - .INIT(64'h0000AAAA559AAAAA)) + .INIT(64'h262626262A262A2A)) \axaddr_incr[0]_i_16 - (.I0(\m_payload_i_reg[46] [2]), - .I1(\state_reg[1] [0]), - .I2(\state_reg[1] [1]), - .I3(\state_reg[0]_rep ), - .I4(\m_payload_i_reg[46] [5]), - .I5(\m_payload_i_reg[46] [4]), + (.I0(\m_payload_i_reg[51] [2]), + .I1(\m_payload_i_reg[51] [5]), + .I2(\m_payload_i_reg[51] [4]), + .I3(\state_reg[1] [0]), + .I4(\state_reg[1] [1]), + .I5(\cnt_read_reg[0]_rep__0 ), .O(S[2])); LUT6 #( - .INIT(64'h00000000559AAAAA)) + .INIT(64'h060606060A060A0A)) \axaddr_incr[0]_i_17 - (.I0(\m_payload_i_reg[46] [1]), - .I1(\state_reg[1] [0]), - .I2(\state_reg[1] [1]), - .I3(\state_reg[0]_rep ), - .I4(\m_payload_i_reg[46] [4]), - .I5(\m_payload_i_reg[46] [5]), + (.I0(\m_payload_i_reg[51] [1]), + .I1(\m_payload_i_reg[51] [4]), + .I2(\m_payload_i_reg[51] [5]), + .I3(\state_reg[1] [0]), + .I4(\state_reg[1] [1]), + .I5(\cnt_read_reg[0]_rep__0 ), .O(S[1])); LUT6 #( - .INIT(64'h000000000000559A)) + .INIT(64'h0101010102010202)) \axaddr_incr[0]_i_18 - (.I0(\m_payload_i_reg[46] [0]), - .I1(\state_reg[1] [0]), - .I2(\state_reg[1] [1]), - .I3(\state_reg[0]_rep ), - .I4(\m_payload_i_reg[46] [4]), - .I5(\m_payload_i_reg[46] [5]), + (.I0(\m_payload_i_reg[51] [0]), + .I1(\m_payload_i_reg[51] [4]), + .I2(\m_payload_i_reg[51] [5]), + .I3(\state_reg[1] [0]), + .I4(\state_reg[1] [1]), + .I5(\cnt_read_reg[0]_rep__0 ), .O(S[0])); LUT3 #( .INIT(8'hB8)) @@ -2765,86 +2753,126 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd .D(\axaddr_incr_reg[8]_i_1_n_6 ), .Q(axaddr_incr_reg[5]), .R(1'b0)); + (* SOFT_HLUTNM = "soft_lutpair109" *) LUT5 #( .INIT(32'hF88F8888)) \axlen_cnt[1]_i_1 (.I0(E), - .I1(\m_payload_i_reg[46] [6]), + .I1(\m_payload_i_reg[51] [6]), .I2(\axlen_cnt_reg_n_0_[1] ), .I3(Q), - .I4(\axlen_cnt_reg[3]_0 ), + .I4(\axlen_cnt_reg[6]_0 ), .O(\axlen_cnt[1]_i_1_n_0 )); LUT6 #( .INIT(64'hF8F8F88F88888888)) \axlen_cnt[2]_i_1 (.I0(E), - .I1(\m_payload_i_reg[46] [7]), + .I1(\m_payload_i_reg[51] [7]), .I2(\axlen_cnt_reg_n_0_[2] ), .I3(Q), .I4(\axlen_cnt_reg_n_0_[1] ), - .I5(\axlen_cnt_reg[3]_0 ), + .I5(\axlen_cnt_reg[6]_0 ), .O(\axlen_cnt[2]_i_1_n_0 )); LUT6 #( .INIT(64'hAAA90000FFFFFFFF)) - \axlen_cnt[3]_i_2 + \axlen_cnt[3]_i_1 (.I0(\axlen_cnt_reg_n_0_[3] ), .I1(\axlen_cnt_reg_n_0_[2] ), .I2(\axlen_cnt_reg_n_0_[1] ), .I3(Q), - .I4(\axlen_cnt_reg[3]_0 ), + .I4(\axlen_cnt_reg[6]_0 ), .I5(\m_payload_i_reg[47] ), - .O(\axlen_cnt[3]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair105" *) - LUT5 #( - .INIT(32'hAAAAAAA9)) - \axlen_cnt[4]_i_1__0 - (.I0(\axlen_cnt_reg_n_0_[4] ), - .I1(Q), - .I2(\axlen_cnt_reg_n_0_[1] ), - .I3(\axlen_cnt_reg_n_0_[2] ), - .I4(\axlen_cnt_reg_n_0_[3] ), - .O(\axlen_cnt[4]_i_1__0_n_0 )); + .O(\axlen_cnt[3]_i_1_n_0 )); LUT6 #( - .INIT(64'hAAAAAAAAAAAAAAA9)) - \axlen_cnt[5]_i_1__0 - (.I0(\axlen_cnt_reg_n_0_[5] ), - .I1(\axlen_cnt_reg_n_0_[3] ), - .I2(\axlen_cnt_reg_n_0_[2] ), - .I3(\axlen_cnt_reg_n_0_[1] ), - .I4(Q), + .INIT(64'h888B8B8B8B888888)) + \axlen_cnt[4]_i_1 + (.I0(\m_payload_i_reg[51] [8]), + .I1(E), + .I2(\axlen_cnt[4]_i_2_n_0 ), + .I3(\axlen_cnt[4]_i_3_n_0 ), + .I4(\axlen_cnt[4]_i_4_n_0 ), .I5(\axlen_cnt_reg_n_0_[4] ), - .O(\axlen_cnt[5]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair106" *) - LUT3 #( - .INIT(8'hA6)) - \axlen_cnt[6]_i_1__0 - (.I0(\axlen_cnt_reg_n_0_[6] ), - .I1(\axlen_cnt[7]_i_3__0_n_0 ), - .I2(\axlen_cnt_reg_n_0_[5] ), - .O(\axlen_cnt[6]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair106" *) + .O(\axlen_cnt[4]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair110" *) LUT4 #( - .INIT(16'hA9AA)) - \axlen_cnt[7]_i_2 - (.I0(\axlen_cnt_reg_n_0_[7] ), - .I1(\axlen_cnt_reg_n_0_[5] ), + .INIT(16'h0002)) + \axlen_cnt[4]_i_2 + (.I0(\axlen_cnt[7]_i_4_n_0 ), + .I1(\axlen_cnt_reg_n_0_[7] ), + .I2(\axlen_cnt_reg_n_0_[5] ), + .I3(\axlen_cnt_reg_n_0_[6] ), + .O(\axlen_cnt[4]_i_2_n_0 )); + LUT2 #( + .INIT(4'h1)) + \axlen_cnt[4]_i_3 + (.I0(\axlen_cnt_reg_n_0_[2] ), + .I1(\axlen_cnt_reg_n_0_[3] ), + .O(\axlen_cnt[4]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair109" *) + LUT2 #( + .INIT(4'h1)) + \axlen_cnt[4]_i_4 + (.I0(\axlen_cnt_reg_n_0_[1] ), + .I1(Q), + .O(\axlen_cnt[4]_i_4_n_0 )); + LUT5 #( + .INIT(32'h8FF88888)) + \axlen_cnt[5]_i_1 + (.I0(E), + .I1(\m_payload_i_reg[51] [9]), + .I2(\axlen_cnt_reg_n_0_[5] ), + .I3(\axlen_cnt[6]_i_2_n_0 ), + .I4(\axlen_cnt_reg[6]_0 ), + .O(\axlen_cnt[5]_i_1_n_0 )); + LUT6 #( + .INIT(64'hF8F88FF888888888)) + \axlen_cnt[6]_i_1 + (.I0(E), + .I1(\m_payload_i_reg[51] [10]), .I2(\axlen_cnt_reg_n_0_[6] ), - .I3(\axlen_cnt[7]_i_3__0_n_0 ), - .O(\axlen_cnt[7]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair105" *) + .I3(\axlen_cnt[6]_i_2_n_0 ), + .I4(\axlen_cnt_reg_n_0_[5] ), + .I5(\axlen_cnt_reg[6]_0 ), + .O(\axlen_cnt[6]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair111" *) LUT5 #( .INIT(32'h00000001)) - \axlen_cnt[7]_i_3__0 - (.I0(\axlen_cnt_reg_n_0_[4] ), - .I1(Q), - .I2(\axlen_cnt_reg_n_0_[1] ), - .I3(\axlen_cnt_reg_n_0_[2] ), - .I4(\axlen_cnt_reg_n_0_[3] ), - .O(\axlen_cnt[7]_i_3__0_n_0 )); + \axlen_cnt[6]_i_2 + (.I0(\axlen_cnt_reg_n_0_[1] ), + .I1(\axlen_cnt_reg_n_0_[4] ), + .I2(\axlen_cnt_reg_n_0_[2] ), + .I3(\axlen_cnt_reg_n_0_[3] ), + .I4(Q), + .O(\axlen_cnt[6]_i_2_n_0 )); + LUT6 #( + .INIT(64'hAAAAAAAACCCCC0CC)) + \axlen_cnt[7]_i_2 + (.I0(\m_payload_i_reg[51] [11]), + .I1(\axlen_cnt_reg_n_0_[7] ), + .I2(\axlen_cnt[7]_i_3_n_0 ), + .I3(\axlen_cnt[7]_i_4_n_0 ), + .I4(Q), + .I5(E), + .O(\axlen_cnt[7]_i_2_n_0 )); + LUT2 #( + .INIT(4'hE)) + \axlen_cnt[7]_i_3 + (.I0(\axlen_cnt_reg_n_0_[5] ), + .I1(\axlen_cnt_reg_n_0_[6] ), + .O(\axlen_cnt[7]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair111" *) + LUT4 #( + .INIT(16'h0001)) + \axlen_cnt[7]_i_4 + (.I0(\axlen_cnt_reg_n_0_[3] ), + .I1(\axlen_cnt_reg_n_0_[2] ), + .I2(\axlen_cnt_reg_n_0_[4] ), + .I3(\axlen_cnt_reg_n_0_[1] ), + .O(\axlen_cnt[7]_i_4_n_0 )); FDRE \axlen_cnt_reg[0] (.C(aclk), .CE(m_valid_i_reg), - .D(D), + .D(\state_reg[0] ), .Q(Q), .R(1'b0)); FDRE \axlen_cnt_reg[1] @@ -2862,50 +2890,43 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd FDRE \axlen_cnt_reg[3] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[3]_i_2_n_0 ), + .D(\axlen_cnt[3]_i_1_n_0 ), .Q(\axlen_cnt_reg_n_0_[3] ), .R(1'b0)); FDRE \axlen_cnt_reg[4] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[4]_i_1__0_n_0 ), + .D(\axlen_cnt[4]_i_1_n_0 ), .Q(\axlen_cnt_reg_n_0_[4] ), - .R(m_valid_i_reg_0)); + .R(1'b0)); FDRE \axlen_cnt_reg[5] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[5]_i_1__0_n_0 ), + .D(\axlen_cnt[5]_i_1_n_0 ), .Q(\axlen_cnt_reg_n_0_[5] ), - .R(m_valid_i_reg_0)); + .R(1'b0)); FDRE \axlen_cnt_reg[6] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[6]_i_1__0_n_0 ), + .D(\axlen_cnt[6]_i_1_n_0 ), .Q(\axlen_cnt_reg_n_0_[6] ), - .R(m_valid_i_reg_0)); + .R(1'b0)); FDRE \axlen_cnt_reg[7] (.C(aclk), .CE(m_valid_i_reg), .D(\axlen_cnt[7]_i_2_n_0 ), .Q(\axlen_cnt_reg_n_0_[7] ), - .R(m_valid_i_reg_0)); + .R(1'b0)); + (* SOFT_HLUTNM = "soft_lutpair110" *) LUT5 #( .INIT(32'h55545555)) - next_pending_r_i_2 + next_pending_r_i_3 (.I0(E), .I1(\axlen_cnt_reg_n_0_[6] ), .I2(\axlen_cnt_reg_n_0_[5] ), .I3(\axlen_cnt_reg_n_0_[7] ), - .I4(next_pending_r_i_5_n_0), - .O(\axlen_cnt_reg[3]_0 )); - LUT4 #( - .INIT(16'h0001)) - next_pending_r_i_5 - (.I0(\axlen_cnt_reg_n_0_[2] ), - .I1(\axlen_cnt_reg_n_0_[1] ), - .I2(\axlen_cnt_reg_n_0_[4] ), - .I3(\axlen_cnt_reg_n_0_[3] ), - .O(next_pending_r_i_5_n_0)); + .I4(\axlen_cnt[7]_i_4_n_0 ), + .O(\axlen_cnt_reg[6]_0 )); FDRE next_pending_r_reg (.C(aclk), .CE(1'b1), @@ -2926,56 +2947,49 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 \axaddr_incr_reg[3]_0 , axaddr_incr_reg, \axaddr_incr_reg[11]_0 , - Q, - \axlen_cnt_reg[1]_0 , S, aclk, sel_first_reg_0, O, sel_first_reg_1, \m_payload_i_reg[47] , + Q, + si_rs_arvalid, + \m_payload_i_reg[51] , E, CO, - \m_payload_i_reg[46] , \state_reg[1]_rep , - \m_payload_i_reg[44] , + \m_payload_i_reg[48] , \m_payload_i_reg[3] , \m_payload_i_reg[11] , m_valid_i_reg, - D, - \state_reg[1] , - m_axi_arready, - \state_reg[1]_0 ); + m_axi_arready); output incr_next_pending; output [3:0]\axaddr_incr_reg[3]_0 ; output [7:0]axaddr_incr_reg; output \axaddr_incr_reg[11]_0 ; - output [0:0]Q; - output \axlen_cnt_reg[1]_0 ; output [3:0]S; input aclk; input sel_first_reg_0; input [3:0]O; input sel_first_reg_1; input \m_payload_i_reg[47] ; + input [1:0]Q; + input si_rs_arvalid; + input [12:0]\m_payload_i_reg[51] ; input [0:0]E; input [0:0]CO; - input [7:0]\m_payload_i_reg[46] ; input \state_reg[1]_rep ; - input \m_payload_i_reg[44] ; + input \m_payload_i_reg[48] ; input [3:0]\m_payload_i_reg[3] ; input [3:0]\m_payload_i_reg[11] ; input [0:0]m_valid_i_reg; - input [0:0]D; - input \state_reg[1] ; input m_axi_arready; - input [1:0]\state_reg[1]_0 ; wire [0:0]CO; - wire [0:0]D; wire [0:0]E; wire [3:0]O; - wire [0:0]Q; + wire [1:0]Q; wire [3:0]S; wire aclk; wire \axaddr_incr[4]_i_2__0_n_0 ; @@ -3004,15 +3018,20 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 wire \axaddr_incr_reg[8]_i_1__0_n_5 ; wire \axaddr_incr_reg[8]_i_1__0_n_6 ; wire \axaddr_incr_reg[8]_i_1__0_n_7 ; + wire \axlen_cnt[0]_i_1__1_n_0 ; wire \axlen_cnt[1]_i_1__1_n_0 ; wire \axlen_cnt[2]_i_1__1_n_0 ; - wire \axlen_cnt[3]_i_2__0_n_0 ; - wire \axlen_cnt[4]_i_1_n_0 ; - wire \axlen_cnt[5]_i_1_n_0 ; - wire \axlen_cnt[6]_i_1_n_0 ; + wire \axlen_cnt[3]_i_1__1_n_0 ; + wire \axlen_cnt[4]_i_1__0_n_0 ; + wire \axlen_cnt[4]_i_2__0_n_0 ; + wire \axlen_cnt[5]_i_1__0_n_0 ; + wire \axlen_cnt[6]_i_1__0_n_0 ; + wire \axlen_cnt[6]_i_2__0_n_0 ; + wire \axlen_cnt[6]_i_3_n_0 ; wire \axlen_cnt[7]_i_2__0_n_0 ; - wire \axlen_cnt[7]_i_3_n_0 ; - wire \axlen_cnt_reg[1]_0 ; + wire \axlen_cnt[7]_i_3__0_n_0 ; + wire \axlen_cnt[7]_i_4__0_n_0 ; + wire \axlen_cnt_reg_n_0_[0] ; wire \axlen_cnt_reg_n_0_[1] ; wire \axlen_cnt_reg_n_0_[2] ; wire \axlen_cnt_reg_n_0_[3] ; @@ -3024,59 +3043,57 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 wire m_axi_arready; wire [3:0]\m_payload_i_reg[11] ; wire [3:0]\m_payload_i_reg[3] ; - wire \m_payload_i_reg[44] ; - wire [7:0]\m_payload_i_reg[46] ; wire \m_payload_i_reg[47] ; + wire \m_payload_i_reg[48] ; + wire [12:0]\m_payload_i_reg[51] ; wire [0:0]m_valid_i_reg; - wire next_pending_r_i_2__1_n_0; - wire next_pending_r_i_4__0_n_0; + wire next_pending_r_i_2__2_n_0; wire next_pending_r_reg_n_0; wire sel_first_reg_0; wire sel_first_reg_1; - wire \state_reg[1] ; - wire [1:0]\state_reg[1]_0 ; + wire si_rs_arvalid; wire \state_reg[1]_rep ; wire [3:3]\NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED ; LUT6 #( .INIT(64'hAAAA6AAAAAAAAAAA)) \axaddr_incr[0]_i_15 - (.I0(\m_payload_i_reg[46] [3]), - .I1(\m_payload_i_reg[46] [4]), - .I2(\m_payload_i_reg[46] [5]), + (.I0(\m_payload_i_reg[51] [3]), + .I1(\m_payload_i_reg[51] [4]), + .I2(\m_payload_i_reg[51] [5]), .I3(m_axi_arready), - .I4(\state_reg[1]_0 [1]), - .I5(\state_reg[1]_0 [0]), + .I4(Q[1]), + .I5(Q[0]), .O(S[3])); LUT6 #( .INIT(64'h2A2A262A2A2A2A2A)) \axaddr_incr[0]_i_16 - (.I0(\m_payload_i_reg[46] [2]), - .I1(\m_payload_i_reg[46] [5]), - .I2(\m_payload_i_reg[46] [4]), + (.I0(\m_payload_i_reg[51] [2]), + .I1(\m_payload_i_reg[51] [5]), + .I2(\m_payload_i_reg[51] [4]), .I3(m_axi_arready), - .I4(\state_reg[1]_0 [1]), - .I5(\state_reg[1]_0 [0]), + .I4(Q[1]), + .I5(Q[0]), .O(S[2])); LUT6 #( .INIT(64'h0A0A060A0A0A0A0A)) \axaddr_incr[0]_i_17 - (.I0(\m_payload_i_reg[46] [1]), - .I1(\m_payload_i_reg[46] [4]), - .I2(\m_payload_i_reg[46] [5]), + (.I0(\m_payload_i_reg[51] [1]), + .I1(\m_payload_i_reg[51] [4]), + .I2(\m_payload_i_reg[51] [5]), .I3(m_axi_arready), - .I4(\state_reg[1]_0 [1]), - .I5(\state_reg[1]_0 [0]), + .I4(Q[1]), + .I5(Q[0]), .O(S[1])); LUT6 #( .INIT(64'h0202010202020202)) \axaddr_incr[0]_i_18 - (.I0(\m_payload_i_reg[46] [0]), - .I1(\m_payload_i_reg[46] [4]), - .I2(\m_payload_i_reg[46] [5]), + (.I0(\m_payload_i_reg[51] [0]), + .I1(\m_payload_i_reg[51] [4]), + .I2(\m_payload_i_reg[51] [5]), .I3(m_axi_arready), - .I4(\state_reg[1]_0 [1]), - .I5(\state_reg[1]_0 [0]), + .I4(Q[1]), + .I5(Q[0]), .O(S[0])); LUT3 #( .INIT(8'hB8)) @@ -3220,97 +3237,131 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 .D(\axaddr_incr_reg[8]_i_1__0_n_6 ), .Q(axaddr_incr_reg[5]), .R(1'b0)); + LUT6 #( + .INIT(64'h44444F4444444444)) + \axlen_cnt[0]_i_1__1 + (.I0(\axlen_cnt_reg_n_0_[0] ), + .I1(\axlen_cnt[6]_i_3_n_0 ), + .I2(Q[1]), + .I3(si_rs_arvalid), + .I4(Q[0]), + .I5(\m_payload_i_reg[51] [6]), + .O(\axlen_cnt[0]_i_1__1_n_0 )); LUT5 #( .INIT(32'hF88F8888)) \axlen_cnt[1]_i_1__1 (.I0(E), - .I1(\m_payload_i_reg[46] [6]), + .I1(\m_payload_i_reg[51] [7]), .I2(\axlen_cnt_reg_n_0_[1] ), - .I3(Q), - .I4(\axlen_cnt_reg[1]_0 ), + .I3(\axlen_cnt_reg_n_0_[0] ), + .I4(\axlen_cnt[6]_i_3_n_0 ), .O(\axlen_cnt[1]_i_1__1_n_0 )); LUT6 #( .INIT(64'hF8F8F88F88888888)) \axlen_cnt[2]_i_1__1 (.I0(E), - .I1(\m_payload_i_reg[46] [7]), + .I1(\m_payload_i_reg[51] [8]), .I2(\axlen_cnt_reg_n_0_[2] ), - .I3(Q), + .I3(\axlen_cnt_reg_n_0_[0] ), .I4(\axlen_cnt_reg_n_0_[1] ), - .I5(\axlen_cnt_reg[1]_0 ), + .I5(\axlen_cnt[6]_i_3_n_0 ), .O(\axlen_cnt[2]_i_1__1_n_0 )); LUT6 #( .INIT(64'hAAA90000FFFFFFFF)) - \axlen_cnt[3]_i_2__0 + \axlen_cnt[3]_i_1__1 (.I0(\axlen_cnt_reg_n_0_[3] ), .I1(\axlen_cnt_reg_n_0_[2] ), .I2(\axlen_cnt_reg_n_0_[1] ), - .I3(Q), - .I4(\axlen_cnt_reg[1]_0 ), + .I3(\axlen_cnt_reg_n_0_[0] ), + .I4(\axlen_cnt[6]_i_3_n_0 ), .I5(\m_payload_i_reg[47] ), - .O(\axlen_cnt[3]_i_2__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair5" *) + .O(\axlen_cnt[3]_i_1__1_n_0 )); + LUT6 #( + .INIT(64'hF88FF8F888888888)) + \axlen_cnt[4]_i_1__0 + (.I0(E), + .I1(\m_payload_i_reg[51] [9]), + .I2(\axlen_cnt_reg_n_0_[4] ), + .I3(\axlen_cnt_reg_n_0_[3] ), + .I4(\axlen_cnt[4]_i_2__0_n_0 ), + .I5(\axlen_cnt[6]_i_3_n_0 ), + .O(\axlen_cnt[4]_i_1__0_n_0 )); + LUT3 #( + .INIT(8'h01)) + \axlen_cnt[4]_i_2__0 + (.I0(\axlen_cnt_reg_n_0_[0] ), + .I1(\axlen_cnt_reg_n_0_[1] ), + .I2(\axlen_cnt_reg_n_0_[2] ), + .O(\axlen_cnt[4]_i_2__0_n_0 )); LUT5 #( - .INIT(32'h55545555)) - \axlen_cnt[3]_i_3__0 + .INIT(32'h8FF88888)) + \axlen_cnt[5]_i_1__0 (.I0(E), - .I1(\axlen_cnt_reg_n_0_[7] ), + .I1(\m_payload_i_reg[51] [10]), + .I2(\axlen_cnt_reg_n_0_[5] ), + .I3(\axlen_cnt[6]_i_2__0_n_0 ), + .I4(\axlen_cnt[6]_i_3_n_0 ), + .O(\axlen_cnt[5]_i_1__0_n_0 )); + LUT6 #( + .INIT(64'hF8F88FF888888888)) + \axlen_cnt[6]_i_1__0 + (.I0(E), + .I1(\m_payload_i_reg[51] [11]), .I2(\axlen_cnt_reg_n_0_[6] ), - .I3(\axlen_cnt_reg_n_0_[5] ), - .I4(next_pending_r_i_4__0_n_0), - .O(\axlen_cnt_reg[1]_0 )); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT5 #( - .INIT(32'hAAAAAAA9)) - \axlen_cnt[4]_i_1 - (.I0(\axlen_cnt_reg_n_0_[4] ), - .I1(\axlen_cnt_reg_n_0_[3] ), - .I2(Q), - .I3(\axlen_cnt_reg_n_0_[1] ), - .I4(\axlen_cnt_reg_n_0_[2] ), - .O(\axlen_cnt[4]_i_1_n_0 )); - LUT6 #( - .INIT(64'hAAAAAAAAAAAAAAA9)) - \axlen_cnt[5]_i_1 - (.I0(\axlen_cnt_reg_n_0_[5] ), - .I1(Q), - .I2(\axlen_cnt_reg_n_0_[2] ), - .I3(\axlen_cnt_reg_n_0_[1] ), - .I4(\axlen_cnt_reg_n_0_[4] ), - .I5(\axlen_cnt_reg_n_0_[3] ), - .O(\axlen_cnt[5]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair7" *) - LUT3 #( - .INIT(8'h9A)) - \axlen_cnt[6]_i_1 - (.I0(\axlen_cnt_reg_n_0_[6] ), - .I1(\axlen_cnt_reg_n_0_[5] ), - .I2(\axlen_cnt[7]_i_3_n_0 ), - .O(\axlen_cnt[6]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair7" *) - LUT4 #( - .INIT(16'hA9AA)) - \axlen_cnt[7]_i_2__0 - (.I0(\axlen_cnt_reg_n_0_[7] ), - .I1(\axlen_cnt_reg_n_0_[5] ), - .I2(\axlen_cnt_reg_n_0_[6] ), - .I3(\axlen_cnt[7]_i_3_n_0 ), - .O(\axlen_cnt[7]_i_2__0_n_0 )); + .I3(\axlen_cnt[6]_i_2__0_n_0 ), + .I4(\axlen_cnt_reg_n_0_[5] ), + .I5(\axlen_cnt[6]_i_3_n_0 ), + .O(\axlen_cnt[6]_i_1__0_n_0 )); (* SOFT_HLUTNM = "soft_lutpair6" *) LUT5 #( .INIT(32'h00000001)) - \axlen_cnt[7]_i_3 + \axlen_cnt[6]_i_2__0 (.I0(\axlen_cnt_reg_n_0_[3] ), .I1(\axlen_cnt_reg_n_0_[4] ), .I2(\axlen_cnt_reg_n_0_[1] ), .I3(\axlen_cnt_reg_n_0_[2] ), - .I4(Q), - .O(\axlen_cnt[7]_i_3_n_0 )); + .I4(\axlen_cnt_reg_n_0_[0] ), + .O(\axlen_cnt[6]_i_2__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair5" *) + LUT5 #( + .INIT(32'h55545555)) + \axlen_cnt[6]_i_3 + (.I0(E), + .I1(\axlen_cnt_reg_n_0_[6] ), + .I2(\axlen_cnt_reg_n_0_[5] ), + .I3(\axlen_cnt_reg_n_0_[7] ), + .I4(\axlen_cnt[7]_i_4__0_n_0 ), + .O(\axlen_cnt[6]_i_3_n_0 )); + LUT6 #( + .INIT(64'hAAAAAAAACCCCC0CC)) + \axlen_cnt[7]_i_2__0 + (.I0(\m_payload_i_reg[51] [12]), + .I1(\axlen_cnt_reg_n_0_[7] ), + .I2(\axlen_cnt[7]_i_3__0_n_0 ), + .I3(\axlen_cnt[7]_i_4__0_n_0 ), + .I4(\axlen_cnt_reg_n_0_[0] ), + .I5(E), + .O(\axlen_cnt[7]_i_2__0_n_0 )); + LUT2 #( + .INIT(4'hE)) + \axlen_cnt[7]_i_3__0 + (.I0(\axlen_cnt_reg_n_0_[5] ), + .I1(\axlen_cnt_reg_n_0_[6] ), + .O(\axlen_cnt[7]_i_3__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair6" *) + LUT4 #( + .INIT(16'h0001)) + \axlen_cnt[7]_i_4__0 + (.I0(\axlen_cnt_reg_n_0_[2] ), + .I1(\axlen_cnt_reg_n_0_[1] ), + .I2(\axlen_cnt_reg_n_0_[4] ), + .I3(\axlen_cnt_reg_n_0_[3] ), + .O(\axlen_cnt[7]_i_4__0_n_0 )); FDRE \axlen_cnt_reg[0] (.C(aclk), .CE(m_valid_i_reg), - .D(D), - .Q(Q), + .D(\axlen_cnt[0]_i_1__1_n_0 ), + .Q(\axlen_cnt_reg_n_0_[0] ), .R(1'b0)); FDRE \axlen_cnt_reg[1] (.C(aclk), @@ -3327,59 +3378,51 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 FDRE \axlen_cnt_reg[3] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[3]_i_2__0_n_0 ), + .D(\axlen_cnt[3]_i_1__1_n_0 ), .Q(\axlen_cnt_reg_n_0_[3] ), .R(1'b0)); FDRE \axlen_cnt_reg[4] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[4]_i_1_n_0 ), + .D(\axlen_cnt[4]_i_1__0_n_0 ), .Q(\axlen_cnt_reg_n_0_[4] ), - .R(\state_reg[1] )); + .R(1'b0)); FDRE \axlen_cnt_reg[5] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[5]_i_1_n_0 ), + .D(\axlen_cnt[5]_i_1__0_n_0 ), .Q(\axlen_cnt_reg_n_0_[5] ), - .R(\state_reg[1] )); + .R(1'b0)); FDRE \axlen_cnt_reg[6] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[6]_i_1_n_0 ), + .D(\axlen_cnt[6]_i_1__0_n_0 ), .Q(\axlen_cnt_reg_n_0_[6] ), - .R(\state_reg[1] )); + .R(1'b0)); FDRE \axlen_cnt_reg[7] (.C(aclk), .CE(m_valid_i_reg), .D(\axlen_cnt[7]_i_2__0_n_0 ), .Q(\axlen_cnt_reg_n_0_[7] ), - .R(\state_reg[1] )); + .R(1'b0)); LUT5 #( - .INIT(32'hFFFF505C)) + .INIT(32'h505CFF5C)) next_pending_r_i_1__2 - (.I0(next_pending_r_i_2__1_n_0), + (.I0(next_pending_r_i_2__2_n_0), .I1(next_pending_r_reg_n_0), .I2(\state_reg[1]_rep ), .I3(E), - .I4(\m_payload_i_reg[44] ), + .I4(\m_payload_i_reg[48] ), .O(incr_next_pending)); (* SOFT_HLUTNM = "soft_lutpair5" *) LUT4 #( .INIT(16'h0002)) - next_pending_r_i_2__1 - (.I0(next_pending_r_i_4__0_n_0), - .I1(\axlen_cnt_reg_n_0_[5] ), - .I2(\axlen_cnt_reg_n_0_[6] ), - .I3(\axlen_cnt_reg_n_0_[7] ), - .O(next_pending_r_i_2__1_n_0)); - LUT4 #( - .INIT(16'h0001)) - next_pending_r_i_4__0 - (.I0(\axlen_cnt_reg_n_0_[2] ), - .I1(\axlen_cnt_reg_n_0_[1] ), - .I2(\axlen_cnt_reg_n_0_[4] ), - .I3(\axlen_cnt_reg_n_0_[3] ), - .O(next_pending_r_i_4__0_n_0)); + next_pending_r_i_2__2 + (.I0(\axlen_cnt[7]_i_4__0_n_0 ), + .I1(\axlen_cnt_reg_n_0_[7] ), + .I2(\axlen_cnt_reg_n_0_[5] ), + .I3(\axlen_cnt_reg_n_0_[6] ), + .O(next_pending_r_i_2__2_n_0)); FDRE next_pending_r_reg (.C(aclk), .CE(1'b1), @@ -3397,7 +3440,7 @@ endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_r_channel" *) module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel (m_valid_i_reg, - \state_reg[1]_rep , + \state_reg[0]_rep , m_axi_rready, out, \skid_buffer_reg[46] , @@ -3410,7 +3453,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel areset_d1, D); output m_valid_i_reg; - output \state_reg[1]_rep ; + output \state_reg[0]_rep ; output m_axi_rready; output [33:0]out; output [12:0]\skid_buffer_reg[46] ; @@ -3438,7 +3481,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel wire rd_data_fifo_0_n_3; wire si_rs_rready; wire [12:0]\skid_buffer_reg[46] ; - wire \state_reg[1]_rep ; + wire \state_reg[0]_rep ; wire [12:0]trans_in; wire transaction_fifo_0_n_1; @@ -3529,7 +3572,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized1 rd_data_fifo_0 (.aclk(aclk), .areset_d1(areset_d1), - .\cnt_read_reg[1]_rep__2_0 (rd_data_fifo_0_n_0), + .\cnt_read_reg[1]_rep__3_0 (rd_data_fifo_0_n_0), .\cnt_read_reg[2]_rep__0_0 (transaction_fifo_0_n_1), .in(in), .m_axi_rready(m_axi_rready), @@ -3537,7 +3580,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel .m_valid_i_reg(m_valid_i_reg), .out(out), .si_rs_rready(si_rs_rready), - .\state_reg[1]_rep (rd_data_fifo_0_n_3)); + .\state_reg[0]_rep (rd_data_fifo_0_n_3)); system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized2 transaction_fifo_0 (.aclk(aclk), .areset_d1(areset_d1), @@ -3549,120 +3592,82 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel .s_ready_i_reg(rd_data_fifo_0_n_0), .si_rs_rready(si_rs_rready), .\skid_buffer_reg[46] (\skid_buffer_reg[46] ), - .\state_reg[1]_rep (\state_reg[1]_rep )); + .\state_reg[0]_rep (\state_reg[0]_rep )); endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm" *) module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm - (\axlen_cnt_reg[7] , - Q, - D, - \axaddr_offset_r_reg[0] , - \axlen_cnt_reg[0] , - \wrap_second_len_r_reg[3] , - E, + (E, + \m_payload_i_reg[0] , + \m_payload_i_reg[0]_0 , s_axburst_eq0_reg, wrap_next_pending, sel_first_i, s_axburst_eq1_reg, r_push_r_reg, - \m_payload_i_reg[0] , - \m_payload_i_reg[0]_0 , \axlen_cnt_reg[3] , \axaddr_incr_reg[11] , m_axi_arvalid, m_valid_i0, \m_payload_i_reg[0]_1 , sel_first_reg, + Q, sel_first_reg_0, - m_axi_arready, si_rs_arvalid, - \axlen_cnt_reg[7]_0 , - \wrap_second_len_r_reg[3]_0 , - \axaddr_offset_r_reg[3] , - \cnt_read_reg[1]_rep__0 , - s_axburst_eq1_reg_0, - \m_payload_i_reg[44] , - \axlen_cnt_reg[0]_0 , - \wrap_second_len_r_reg[2] , - \m_payload_i_reg[35] , - \m_payload_i_reg[47] , - \m_payload_i_reg[35]_0 , - \axaddr_offset_r_reg[0]_0 , - \m_payload_i_reg[3] , + \m_payload_i_reg[39] , incr_next_pending, - \m_payload_i_reg[44]_0 , - \state_reg[0]_0 , + \m_payload_i_reg[46] , + \state_reg[0]_rep_0 , next_pending_r_reg, + m_axi_arready, areset_d1, sel_first_reg_1, sel_first_reg_2, + s_axburst_eq1_reg_0, + \cnt_read_reg[1]_rep__0 , s_axi_arvalid, s_ready_i_reg, sel_first_reg_3, aclk); - output \axlen_cnt_reg[7] ; - output [1:0]Q; - output [1:0]D; - output [0:0]\axaddr_offset_r_reg[0] ; - output [0:0]\axlen_cnt_reg[0] ; - output [1:0]\wrap_second_len_r_reg[3] ; output [0:0]E; + output \m_payload_i_reg[0] ; + output \m_payload_i_reg[0]_0 ; output s_axburst_eq0_reg; output wrap_next_pending; output sel_first_i; output s_axburst_eq1_reg; output r_push_r_reg; - output \m_payload_i_reg[0] ; - output \m_payload_i_reg[0]_0 ; output [0:0]\axlen_cnt_reg[3] ; output \axaddr_incr_reg[11] ; output m_axi_arvalid; output m_valid_i0; output [0:0]\m_payload_i_reg[0]_1 ; output sel_first_reg; + output [1:0]Q; output sel_first_reg_0; - input m_axi_arready; input si_rs_arvalid; - input \axlen_cnt_reg[7]_0 ; - input [1:0]\wrap_second_len_r_reg[3]_0 ; - input \axaddr_offset_r_reg[3] ; - input \cnt_read_reg[1]_rep__0 ; - input s_axburst_eq1_reg_0; - input [1:0]\m_payload_i_reg[44] ; - input [0:0]\axlen_cnt_reg[0]_0 ; - input [1:0]\wrap_second_len_r_reg[2] ; - input \m_payload_i_reg[35] ; - input [1:0]\m_payload_i_reg[47] ; - input \m_payload_i_reg[35]_0 ; - input [0:0]\axaddr_offset_r_reg[0]_0 ; - input \m_payload_i_reg[3] ; + input [0:0]\m_payload_i_reg[39] ; input incr_next_pending; - input \m_payload_i_reg[44]_0 ; - input \state_reg[0]_0 ; + input \m_payload_i_reg[46] ; + input \state_reg[0]_rep_0 ; input next_pending_r_reg; + input m_axi_arready; input areset_d1; input sel_first_reg_1; input sel_first_reg_2; + input s_axburst_eq1_reg_0; + input \cnt_read_reg[1]_rep__0 ; input s_axi_arvalid; input s_ready_i_reg; input sel_first_reg_3; input aclk; - wire [1:0]D; wire [0:0]E; wire [1:0]Q; wire aclk; wire areset_d1; wire \axaddr_incr_reg[11] ; - wire [0:0]\axaddr_offset_r_reg[0] ; - wire [0:0]\axaddr_offset_r_reg[0]_0 ; - wire \axaddr_offset_r_reg[3] ; - wire [0:0]\axlen_cnt_reg[0] ; - wire [0:0]\axlen_cnt_reg[0]_0 ; wire [0:0]\axlen_cnt_reg[3] ; - wire \axlen_cnt_reg[7] ; - wire \axlen_cnt_reg[7]_0 ; wire \cnt_read_reg[1]_rep__0 ; wire incr_next_pending; wire m_axi_arready; @@ -3670,12 +3675,8 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm wire \m_payload_i_reg[0] ; wire \m_payload_i_reg[0]_0 ; wire [0:0]\m_payload_i_reg[0]_1 ; - wire \m_payload_i_reg[35] ; - wire \m_payload_i_reg[35]_0 ; - wire \m_payload_i_reg[3] ; - wire [1:0]\m_payload_i_reg[44] ; - wire \m_payload_i_reg[44]_0 ; - wire [1:0]\m_payload_i_reg[47] ; + wire [0:0]\m_payload_i_reg[39] ; + wire \m_payload_i_reg[46] ; wire m_valid_i0; wire next_pending_r_reg; wire [1:0]next_state; @@ -3692,14 +3693,10 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm wire sel_first_reg_2; wire sel_first_reg_3; wire si_rs_arvalid; - wire \state_reg[0]_0 ; - wire \wrap_cnt_r[3]_i_2__0_n_0 ; + wire \state_reg[0]_rep_0 ; wire wrap_next_pending; - wire [1:0]\wrap_second_len_r_reg[2] ; - wire [1:0]\wrap_second_len_r_reg[3] ; - wire [1:0]\wrap_second_len_r_reg[3]_0 ; - (* SOFT_HLUTNM = "soft_lutpair2" *) + (* SOFT_HLUTNM = "soft_lutpair3" *) LUT4 #( .INIT(16'hAEAA)) \axaddr_incr[0]_i_1__0 @@ -3708,44 +3705,15 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm .I2(\m_payload_i_reg[0] ), .I3(m_axi_arready), .O(\axaddr_incr_reg[11] )); - LUT6 #( - .INIT(64'hAAAAACAAAAAAA0AA)) - \axaddr_offset_r[0]_i_1__0 - (.I0(\axaddr_offset_r_reg[0]_0 ), - .I1(\m_payload_i_reg[44] [1]), - .I2(Q[0]), - .I3(si_rs_arvalid), - .I4(Q[1]), - .I5(\m_payload_i_reg[3] ), - .O(\axaddr_offset_r_reg[0] )); - LUT6 #( - .INIT(64'h0400FFFF04000400)) - \axlen_cnt[0]_i_1__1 - (.I0(Q[1]), - .I1(si_rs_arvalid), - .I2(Q[0]), - .I3(\m_payload_i_reg[44] [1]), - .I4(\axlen_cnt_reg[0]_0 ), - .I5(\axlen_cnt_reg[7]_0 ), - .O(\axlen_cnt_reg[0] )); - (* SOFT_HLUTNM = "soft_lutpair2" *) + (* SOFT_HLUTNM = "soft_lutpair3" *) LUT4 #( .INIT(16'h0E02)) - \axlen_cnt[3]_i_1__0 + \axlen_cnt[7]_i_1__0 (.I0(si_rs_arvalid), .I1(\m_payload_i_reg[0]_0 ), .I2(\m_payload_i_reg[0] ), .I3(m_axi_arready), .O(\axlen_cnt_reg[3] )); - LUT5 #( - .INIT(32'h00002320)) - \axlen_cnt[7]_i_1 - (.I0(m_axi_arready), - .I1(Q[1]), - .I2(Q[0]), - .I3(si_rs_arvalid), - .I4(\axlen_cnt_reg[7]_0 ), - .O(\axlen_cnt_reg[7] )); (* SOFT_HLUTNM = "soft_lutpair4" *) LUT2 #( .INIT(4'h2)) @@ -3761,7 +3729,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm .I1(\m_payload_i_reg[0]_0 ), .I2(si_rs_arvalid), .O(\m_payload_i_reg[0]_1 )); - (* SOFT_HLUTNM = "soft_lutpair1" *) + (* SOFT_HLUTNM = "soft_lutpair0" *) LUT5 #( .INIT(32'hFF70FFFF)) m_valid_i_i_1__1 @@ -3772,15 +3740,15 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm .I4(s_ready_i_reg), .O(m_valid_i0)); LUT5 #( - .INIT(32'hFFABEEAA)) + .INIT(32'hFF53DC50)) next_pending_r_i_1__1 - (.I0(\m_payload_i_reg[44]_0 ), + (.I0(\m_payload_i_reg[46] ), .I1(r_push_r_reg), .I2(E), - .I3(\state_reg[0]_0 ), + .I3(\state_reg[0]_rep_0 ), .I4(next_pending_r_reg), .O(wrap_next_pending)); - (* SOFT_HLUTNM = "soft_lutpair0" *) + (* SOFT_HLUTNM = "soft_lutpair1" *) LUT3 #( .INIT(8'h20)) r_push_r_i_1 @@ -3788,21 +3756,21 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm .I1(\m_payload_i_reg[0] ), .I2(\m_payload_i_reg[0]_0 ), .O(r_push_r_reg)); - (* SOFT_HLUTNM = "soft_lutpair3" *) + (* SOFT_HLUTNM = "soft_lutpair2" *) LUT4 #( .INIT(16'hFB08)) s_axburst_eq0_i_1__0 (.I0(wrap_next_pending), - .I1(\m_payload_i_reg[44] [0]), + .I1(\m_payload_i_reg[39] ), .I2(sel_first_i), .I3(incr_next_pending), .O(s_axburst_eq0_reg)); - (* SOFT_HLUTNM = "soft_lutpair3" *) + (* SOFT_HLUTNM = "soft_lutpair2" *) LUT4 #( .INIT(16'hABA8)) s_axburst_eq1_i_1__0 (.I0(wrap_next_pending), - .I1(\m_payload_i_reg[44] [0]), + .I1(\m_payload_i_reg[39] ), .I2(sel_first_i), .I3(incr_next_pending), .O(s_axburst_eq1_reg)); @@ -3837,16 +3805,16 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm .I5(areset_d1), .O(sel_first_reg_0)); LUT6 #( - .INIT(64'h0000CFFFCCCC8888)) + .INIT(64'h0F000F00FF70F070)) \state[0]_i_1__0 - (.I0(si_rs_arvalid), - .I1(\cnt_read_reg[1]_rep__0 ), - .I2(s_axburst_eq1_reg_0), - .I3(m_axi_arready), - .I4(Q[1]), - .I5(Q[0]), + (.I0(m_axi_arready), + .I1(s_axburst_eq1_reg_0), + .I2(\m_payload_i_reg[0]_0 ), + .I3(\cnt_read_reg[1]_rep__0 ), + .I4(si_rs_arvalid), + .I5(\m_payload_i_reg[0] ), .O(next_state[0])); - (* SOFT_HLUTNM = "soft_lutpair0" *) + (* SOFT_HLUTNM = "soft_lutpair1" *) LUT5 #( .INIT(32'h00337000)) \state[1]_i_1__0 @@ -3888,62 +3856,14 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm .D(next_state[1]), .Q(\m_payload_i_reg[0] ), .R(areset_d1)); - (* SOFT_HLUTNM = "soft_lutpair1" *) + (* SOFT_HLUTNM = "soft_lutpair0" *) LUT3 #( .INIT(8'h04)) - \wrap_boundary_axaddr_r[11]_i_1 + \wrap_boundary_axaddr_r[11]_i_1__0 (.I0(\m_payload_i_reg[0] ), .I1(si_rs_arvalid), .I2(\m_payload_i_reg[0]_0 ), .O(E)); - LUT6 #( - .INIT(64'h5575AA8A5545AA8A)) - \wrap_cnt_r[0]_i_1__0 - (.I0(\wrap_second_len_r_reg[3]_0 [0]), - .I1(Q[0]), - .I2(si_rs_arvalid), - .I3(Q[1]), - .I4(\axaddr_offset_r_reg[3] ), - .I5(\axaddr_offset_r_reg[0] ), - .O(D[0])); - LUT4 #( - .INIT(16'hA6AA)) - \wrap_cnt_r[3]_i_1__0 - (.I0(\wrap_second_len_r_reg[3] [1]), - .I1(\wrap_second_len_r_reg[2] [0]), - .I2(\wrap_cnt_r[3]_i_2__0_n_0 ), - .I3(\wrap_second_len_r_reg[2] [1]), - .O(D[1])); - LUT6 #( - .INIT(64'hDD11DD11DD11DDF1)) - \wrap_cnt_r[3]_i_2__0 - (.I0(\wrap_second_len_r_reg[3]_0 [0]), - .I1(E), - .I2(\m_payload_i_reg[35] ), - .I3(\axaddr_offset_r_reg[0] ), - .I4(\m_payload_i_reg[47] [0]), - .I5(\m_payload_i_reg[47] [1]), - .O(\wrap_cnt_r[3]_i_2__0_n_0 )); - LUT6 #( - .INIT(64'hAA8AAA8AAABAAA8A)) - \wrap_second_len_r[0]_i_1__0 - (.I0(\wrap_second_len_r_reg[3]_0 [0]), - .I1(Q[0]), - .I2(si_rs_arvalid), - .I3(Q[1]), - .I4(\axaddr_offset_r_reg[3] ), - .I5(\axaddr_offset_r_reg[0] ), - .O(\wrap_second_len_r_reg[3] [0])); - LUT6 #( - .INIT(64'hFB00FFFFFB00FB00)) - \wrap_second_len_r[3]_i_1__0 - (.I0(\axaddr_offset_r_reg[0] ), - .I1(\m_payload_i_reg[35] ), - .I2(\m_payload_i_reg[47] [0]), - .I3(\m_payload_i_reg[35]_0 ), - .I4(E), - .I5(\wrap_second_len_r_reg[3]_0 [1]), - .O(\wrap_second_len_r_reg[3] [1])); endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_simple_fifo" *) @@ -3953,16 +3873,12 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo \state_reg[0]_rep , SR, bresp_push, - bvalid_i_reg, out, b_push, shandshake_r, areset_d1, - Q, mhandshake_r, - si_rs_bready, - si_rs_bvalid, - \cnt_read_reg[1]_0 , + Q, in, aclk); output \cnt_read_reg[0]_rep__0_0 ; @@ -3970,17 +3886,13 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo output \state_reg[0]_rep ; output [0:0]SR; output bresp_push; - output bvalid_i_reg; output [11:0]out; input b_push; input shandshake_r; input areset_d1; - input [7:0]Q; input mhandshake_r; - input si_rs_bready; - input si_rs_bvalid; - input [1:0]\cnt_read_reg[1]_0 ; - input [15:0]in; + input [7:0]Q; + input [19:0]in; input aclk; wire [7:0]Q; @@ -3989,22 +3901,18 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo wire areset_d1; wire b_push; wire bresp_push; - wire bvalid_i_i_2_n_0; - wire bvalid_i_reg; wire [1:1]cnt_read; wire \cnt_read[0]_i_1_n_0 ; wire [1:0]cnt_read_0; wire \cnt_read_reg[0]_rep__0_0 ; wire \cnt_read_reg[0]_rep_n_0 ; - wire [1:0]\cnt_read_reg[1]_0 ; wire \cnt_read_reg[1]_rep__0_n_0 ; wire \cnt_read_reg[1]_rep__1_0 ; wire \cnt_read_reg[1]_rep_n_0 ; - wire [15:0]in; + wire [19:0]in; wire \memory_reg[3][0]_srl4_i_2__0_n_0 ; wire \memory_reg[3][0]_srl4_i_3_n_0 ; wire \memory_reg[3][0]_srl4_i_4_n_0 ; - wire \memory_reg[3][0]_srl4_i_5_n_0 ; wire \memory_reg[3][0]_srl4_n_0 ; wire \memory_reg[3][1]_srl4_n_0 ; wire \memory_reg[3][2]_srl4_n_0 ; @@ -4016,37 +3924,15 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo wire mhandshake_r; wire [11:0]out; wire shandshake_r; - wire si_rs_bready; - wire si_rs_bvalid; wire \state_reg[0]_rep ; - (* SOFT_HLUTNM = "soft_lutpair108" *) LUT2 #( .INIT(4'hE)) \bresp_cnt[7]_i_1 (.I0(areset_d1), .I1(bresp_push), .O(SR)); - (* SOFT_HLUTNM = "soft_lutpair108" *) - LUT4 #( - .INIT(16'h002A)) - bvalid_i_i_1 - (.I0(bvalid_i_i_2_n_0), - .I1(si_rs_bready), - .I2(si_rs_bvalid), - .I3(areset_d1), - .O(bvalid_i_reg)); - LUT6 #( - .INIT(64'hFFFFFFFF00070707)) - bvalid_i_i_2 - (.I0(\cnt_read_reg[0]_rep__0_0 ), - .I1(\cnt_read_reg[1]_rep__1_0 ), - .I2(shandshake_r), - .I3(\cnt_read_reg[1]_0 [1]), - .I4(\cnt_read_reg[1]_0 [0]), - .I5(si_rs_bvalid), - .O(bvalid_i_i_2_n_0)); - (* SOFT_HLUTNM = "soft_lutpair107" *) + (* SOFT_HLUTNM = "soft_lutpair112" *) LUT3 #( .INIT(8'h96)) \cnt_read[0]_i_1 @@ -4054,7 +3940,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .I1(b_push), .I2(shandshake_r), .O(\cnt_read[0]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair107" *) + (* SOFT_HLUTNM = "soft_lutpair112" *) LUT4 #( .INIT(16'hE718)) \cnt_read[1]_i_1 @@ -4133,54 +4019,43 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .D(in[0]), .Q(\memory_reg[3][0]_srl4_n_0 )); LUT6 #( - .INIT(64'h0000000000000090)) + .INIT(64'h0000000700000000)) \memory_reg[3][0]_srl4_i_1__0 - (.I0(Q[7]), - .I1(\memory_reg[3][7]_srl4_n_0 ), + (.I0(\cnt_read_reg[1]_rep__1_0 ), + .I1(\cnt_read_reg[0]_rep__0_0 ), .I2(\memory_reg[3][0]_srl4_i_2__0_n_0 ), .I3(\memory_reg[3][0]_srl4_i_3_n_0 ), .I4(\memory_reg[3][0]_srl4_i_4_n_0 ), - .I5(\memory_reg[3][0]_srl4_i_5_n_0 ), + .I5(mhandshake_r), .O(bresp_push)); - LUT5 #( - .INIT(32'h82820082)) - \memory_reg[3][0]_srl4_i_2__0 - (.I0(mhandshake_r), - .I1(\memory_reg[3][6]_srl4_n_0 ), - .I2(Q[6]), - .I3(\memory_reg[3][5]_srl4_n_0 ), - .I4(Q[5]), - .O(\memory_reg[3][0]_srl4_i_2__0_n_0 )); LUT6 #( - .INIT(64'h2FF22FF2FFFF2FF2)) + .INIT(64'h6FF6FFFFFFFF6FF6)) + \memory_reg[3][0]_srl4_i_2__0 + (.I0(\memory_reg[3][0]_srl4_n_0 ), + .I1(Q[0]), + .I2(Q[2]), + .I3(\memory_reg[3][2]_srl4_n_0 ), + .I4(Q[1]), + .I5(\memory_reg[3][1]_srl4_n_0 ), + .O(\memory_reg[3][0]_srl4_i_2__0_n_0 )); + LUT6 #( + .INIT(64'h6FF6FFFFFFFF6FF6)) \memory_reg[3][0]_srl4_i_3 - (.I0(\memory_reg[3][1]_srl4_n_0 ), - .I1(Q[1]), - .I2(\memory_reg[3][2]_srl4_n_0 ), - .I3(Q[2]), - .I4(Q[4]), - .I5(\memory_reg[3][4]_srl4_n_0 ), + (.I0(\memory_reg[3][3]_srl4_n_0 ), + .I1(Q[3]), + .I2(Q[4]), + .I3(\memory_reg[3][4]_srl4_n_0 ), + .I4(Q[5]), + .I5(\memory_reg[3][5]_srl4_n_0 ), .O(\memory_reg[3][0]_srl4_i_3_n_0 )); - LUT6 #( - .INIT(64'hF222FFFFFFFFF222)) + LUT4 #( + .INIT(16'h6FF6)) \memory_reg[3][0]_srl4_i_4 - (.I0(Q[1]), - .I1(\memory_reg[3][1]_srl4_n_0 ), - .I2(\cnt_read_reg[0]_rep__0_0 ), - .I3(\cnt_read_reg[1]_rep__1_0 ), - .I4(\memory_reg[3][0]_srl4_n_0 ), - .I5(Q[0]), + (.I0(\memory_reg[3][7]_srl4_n_0 ), + .I1(Q[7]), + .I2(\memory_reg[3][6]_srl4_n_0 ), + .I3(Q[6]), .O(\memory_reg[3][0]_srl4_i_4_n_0 )); - LUT6 #( - .INIT(64'h2FF22FF2FFFF2FF2)) - \memory_reg[3][0]_srl4_i_5 - (.I0(Q[5]), - .I1(\memory_reg[3][5]_srl4_n_0 ), - .I2(Q[3]), - .I3(\memory_reg[3][3]_srl4_n_0 ), - .I4(\memory_reg[3][4]_srl4_n_0 ), - .I5(Q[4]), - .O(\memory_reg[3][0]_srl4_i_5_n_0 )); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][10]_srl4 " *) SRL16E #( @@ -4192,7 +4067,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[6]), + .D(in[10]), .Q(out[2])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][11]_srl4 " *) @@ -4205,7 +4080,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[7]), + .D(in[11]), .Q(out[3])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][12]_srl4 " *) @@ -4218,7 +4093,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[8]), + .D(in[12]), .Q(out[4])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][13]_srl4 " *) @@ -4231,7 +4106,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[9]), + .D(in[13]), .Q(out[5])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][14]_srl4 " *) @@ -4244,7 +4119,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[10]), + .D(in[14]), .Q(out[6])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][15]_srl4 " *) @@ -4257,7 +4132,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[11]), + .D(in[15]), .Q(out[7])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][16]_srl4 " *) @@ -4270,7 +4145,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[12]), + .D(in[16]), .Q(out[8])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][17]_srl4 " *) @@ -4283,7 +4158,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[13]), + .D(in[17]), .Q(out[9])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][18]_srl4 " *) @@ -4296,7 +4171,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[14]), + .D(in[18]), .Q(out[10])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][19]_srl4 " *) @@ -4309,7 +4184,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[15]), + .D(in[19]), .Q(out[11])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 " *) @@ -4361,7 +4236,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(1'b0), + .D(in[4]), .Q(\memory_reg[3][4]_srl4_n_0 )); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][5]_srl4 " *) @@ -4374,7 +4249,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(1'b0), + .D(in[5]), .Q(\memory_reg[3][5]_srl4_n_0 )); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][6]_srl4 " *) @@ -4387,7 +4262,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(1'b0), + .D(in[6]), .Q(\memory_reg[3][6]_srl4_n_0 )); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][7]_srl4 " *) @@ -4400,7 +4275,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(1'b0), + .D(in[7]), .Q(\memory_reg[3][7]_srl4_n_0 )); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 " *) @@ -4413,7 +4288,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[4]), + .D(in[8]), .Q(out[0])); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][9]_srl4 " *) @@ -4426,7 +4301,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo .A3(1'b0), .CE(b_push), .CLK(aclk), - .D(in[5]), + .D(in[9]), .Q(out[1])); LUT2 #( .INIT(4'h2)) @@ -4438,80 +4313,112 @@ endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_simple_fifo" *) module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized0 - (Q, - mhandshake, + (mhandshake, m_axi_bready, + bvalid_i_reg, \skid_buffer_reg[1] , bresp_push, shandshake_r, m_axi_bvalid, mhandshake_r, + si_rs_bready, + si_rs_bvalid, + areset_d1, + \cnt_read_reg[0]_rep__0 , + \cnt_read_reg[1]_rep__1 , in, - aclk, - areset_d1); - output [1:0]Q; + aclk); output mhandshake; output m_axi_bready; + output bvalid_i_reg; output [1:0]\skid_buffer_reg[1] ; input bresp_push; input shandshake_r; input m_axi_bvalid; input mhandshake_r; + input si_rs_bready; + input si_rs_bvalid; + input areset_d1; + input \cnt_read_reg[0]_rep__0 ; + input \cnt_read_reg[1]_rep__1 ; input [1:0]in; input aclk; - input areset_d1; - wire [1:0]Q; wire aclk; wire areset_d1; wire bresp_push; + wire bvalid_i_i_2_n_0; + wire bvalid_i_reg; + wire [1:0]cnt_read; wire \cnt_read[0]_i_1__0_n_0 ; wire \cnt_read[1]_i_1__0_n_0 ; + wire \cnt_read_reg[0]_rep__0 ; + wire \cnt_read_reg[1]_rep__1 ; wire [1:0]in; wire m_axi_bready; wire m_axi_bvalid; wire mhandshake; wire mhandshake_r; wire shandshake_r; + wire si_rs_bready; + wire si_rs_bvalid; wire [1:0]\skid_buffer_reg[1] ; - (* SOFT_HLUTNM = "soft_lutpair110" *) + LUT4 #( + .INIT(16'h002A)) + bvalid_i_i_1 + (.I0(bvalid_i_i_2_n_0), + .I1(si_rs_bready), + .I2(si_rs_bvalid), + .I3(areset_d1), + .O(bvalid_i_reg)); + LUT6 #( + .INIT(64'hFFFFFFFF00151515)) + bvalid_i_i_2 + (.I0(shandshake_r), + .I1(cnt_read[1]), + .I2(cnt_read[0]), + .I3(\cnt_read_reg[0]_rep__0 ), + .I4(\cnt_read_reg[1]_rep__1 ), + .I5(si_rs_bvalid), + .O(bvalid_i_i_2_n_0)); + (* SOFT_HLUTNM = "soft_lutpair113" *) LUT3 #( .INIT(8'h96)) \cnt_read[0]_i_1__0 - (.I0(Q[0]), + (.I0(cnt_read[0]), .I1(bresp_push), .I2(shandshake_r), .O(\cnt_read[0]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair110" *) + (* SOFT_HLUTNM = "soft_lutpair113" *) LUT4 #( .INIT(16'hE718)) \cnt_read[1]_i_1__0 - (.I0(Q[0]), + (.I0(cnt_read[0]), .I1(bresp_push), .I2(shandshake_r), - .I3(Q[1]), + .I3(cnt_read[1]), .O(\cnt_read[1]_i_1__0_n_0 )); (* KEEP = "yes" *) FDSE \cnt_read_reg[0] (.C(aclk), .CE(1'b1), .D(\cnt_read[0]_i_1__0_n_0 ), - .Q(Q[0]), + .Q(cnt_read[0]), .S(areset_d1)); (* KEEP = "yes" *) FDSE \cnt_read_reg[1] (.C(aclk), .CE(1'b1), .D(\cnt_read[1]_i_1__0_n_0 ), - .Q(Q[1]), + .Q(cnt_read[1]), .S(areset_d1)); - (* SOFT_HLUTNM = "soft_lutpair109" *) + (* SOFT_HLUTNM = "soft_lutpair114" *) LUT3 #( .INIT(8'h08)) m_axi_bready_INST_0 - (.I0(Q[1]), - .I1(Q[0]), + (.I0(cnt_read[1]), + .I1(cnt_read[0]), .I2(mhandshake_r), .O(m_axi_bready)); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] " *) @@ -4519,8 +4426,8 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa SRL16E #( .INIT(16'h0000)) \memory_reg[3][0]_srl4 - (.A0(Q[0]), - .A1(Q[1]), + (.A0(cnt_read[0]), + .A1(cnt_read[1]), .A2(1'b0), .A3(1'b0), .CE(bresp_push), @@ -4532,31 +4439,31 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa SRL16E #( .INIT(16'h0000)) \memory_reg[3][1]_srl4 - (.A0(Q[0]), - .A1(Q[1]), + (.A0(cnt_read[0]), + .A1(cnt_read[1]), .A2(1'b0), .A3(1'b0), .CE(bresp_push), .CLK(aclk), .D(in[1]), .Q(\skid_buffer_reg[1] [1])); - (* SOFT_HLUTNM = "soft_lutpair109" *) + (* SOFT_HLUTNM = "soft_lutpair114" *) LUT4 #( .INIT(16'h2000)) mhandshake_r_i_1 (.I0(m_axi_bvalid), .I1(mhandshake_r), - .I2(Q[0]), - .I3(Q[1]), + .I2(cnt_read[0]), + .I3(cnt_read[1]), .O(mhandshake)); endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_simple_fifo" *) module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized1 - (\cnt_read_reg[1]_rep__2_0 , + (\cnt_read_reg[1]_rep__3_0 , m_valid_i_reg, m_axi_rready, - \state_reg[1]_rep , + \state_reg[0]_rep , out, si_rs_rready, m_axi_rvalid, @@ -4564,10 +4471,10 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa in, aclk, areset_d1); - output \cnt_read_reg[1]_rep__2_0 ; + output \cnt_read_reg[1]_rep__3_0 ; output m_valid_i_reg; output m_axi_rready; - output \state_reg[1]_rep ; + output \state_reg[0]_rep ; output [33:0]out; input si_rs_rready; input m_axi_rvalid; @@ -4583,6 +4490,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa wire \cnt_read[1]_i_1__1_n_0 ; wire \cnt_read[2]_i_1_n_0 ; wire \cnt_read[3]_i_1_n_0 ; + wire \cnt_read[3]_i_2_n_0 ; wire \cnt_read[4]_i_1_n_0 ; wire \cnt_read[4]_i_2_n_0 ; wire \cnt_read[4]_i_3_n_0 ; @@ -4593,8 +4501,9 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa wire \cnt_read_reg[0]_rep_n_0 ; wire \cnt_read_reg[1]_rep__0_n_0 ; wire \cnt_read_reg[1]_rep__1_n_0 ; - wire \cnt_read_reg[1]_rep__2_0 ; wire \cnt_read_reg[1]_rep__2_n_0 ; + wire \cnt_read_reg[1]_rep__3_0 ; + wire \cnt_read_reg[1]_rep__3_n_0 ; wire \cnt_read_reg[1]_rep_n_0 ; wire \cnt_read_reg[2]_rep__0_0 ; wire \cnt_read_reg[2]_rep__0_n_0 ; @@ -4615,7 +4524,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa wire m_valid_i_reg; wire [33:0]out; wire si_rs_rready; - wire \state_reg[1]_rep ; + wire \state_reg[0]_rep ; wire wr_en0; wire \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED ; wire \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED ; @@ -4653,48 +4562,58 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa wire \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED ; LUT3 #( - .INIT(8'h69)) + .INIT(8'h96)) \cnt_read[0]_i_1__1 - (.I0(\cnt_read_reg[0]_rep__3_n_0 ), - .I1(\cnt_read_reg[1]_rep__2_0 ), - .I2(wr_en0), + (.I0(\cnt_read_reg[0]_rep__2_n_0 ), + .I1(\cnt_read_reg[1]_rep__3_0 ), + .I2(\cnt_read[3]_i_2_n_0 ), .O(\cnt_read[0]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair9" *) + (* SOFT_HLUTNM = "soft_lutpair8" *) LUT4 #( - .INIT(16'h7E81)) + .INIT(16'hE718)) \cnt_read[1]_i_1__1 (.I0(\cnt_read_reg[0]_rep__2_n_0 ), - .I1(\cnt_read_reg[1]_rep__2_0 ), - .I2(wr_en0), + .I1(\cnt_read_reg[1]_rep__3_0 ), + .I2(\cnt_read[3]_i_2_n_0 ), .I3(\cnt_read_reg[1]_rep__2_n_0 ), .O(\cnt_read[1]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair9" *) + (* SOFT_HLUTNM = "soft_lutpair8" *) LUT5 #( - .INIT(32'h7FFE8001)) + .INIT(32'hFE7F0180)) \cnt_read[2]_i_1 (.I0(\cnt_read_reg[1]_rep__2_n_0 ), .I1(\cnt_read_reg[0]_rep__2_n_0 ), - .I2(\cnt_read_reg[1]_rep__2_0 ), - .I3(wr_en0), + .I2(\cnt_read_reg[1]_rep__3_0 ), + .I3(\cnt_read[3]_i_2_n_0 ), .I4(\cnt_read_reg[2]_rep__2_n_0 ), .O(\cnt_read[2]_i_1_n_0 )); LUT6 #( - .INIT(64'h7FFFFFFE80000001)) + .INIT(64'hDFFFFFFB20000004)) \cnt_read[3]_i_1 (.I0(\cnt_read_reg[1]_rep__2_n_0 ), - .I1(wr_en0), - .I2(\cnt_read_reg[1]_rep__2_0 ), + .I1(\cnt_read[3]_i_2_n_0 ), + .I2(\cnt_read_reg[1]_rep__3_0 ), .I3(\cnt_read_reg[0]_rep__2_n_0 ), .I4(\cnt_read_reg[2]_rep__2_n_0 ), .I5(\cnt_read_reg[3]_rep__2_n_0 ), .O(\cnt_read[3]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair10" *) + LUT6 #( + .INIT(64'h08808880FFFFFFFF)) + \cnt_read[3]_i_2 + (.I0(\cnt_read_reg[4]_rep__2_n_0 ), + .I1(\cnt_read_reg[3]_rep__2_n_0 ), + .I2(\cnt_read_reg[1]_rep__3_n_0 ), + .I3(\cnt_read_reg[2]_rep__2_n_0 ), + .I4(\cnt_read_reg[0]_rep__3_n_0 ), + .I5(m_axi_rvalid), + .O(\cnt_read[3]_i_2_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair9" *) LUT2 #( .INIT(4'hB)) - \cnt_read[3]_i_2 + \cnt_read[3]_i_3 (.I0(m_valid_i_reg), .I1(si_rs_rready), - .O(\cnt_read_reg[1]_rep__2_0 )); + .O(\cnt_read_reg[1]_rep__3_0 )); LUT5 #( .INIT(32'h9AA69AAA)) \cnt_read[4]_i_1 @@ -4705,22 +4624,22 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa .I4(\cnt_read[4]_i_3_n_0 ), .O(\cnt_read[4]_i_1_n_0 )); LUT5 #( - .INIT(32'h75FFFFFF)) + .INIT(32'hFFFF7F77)) \cnt_read[4]_i_2 - (.I0(\cnt_read_reg[1]_rep__2_n_0 ), - .I1(m_valid_i_reg), - .I2(si_rs_rready), - .I3(wr_en0), - .I4(\cnt_read_reg[0]_rep__2_n_0 ), + (.I0(\cnt_read_reg[1]_rep__3_n_0 ), + .I1(\cnt_read_reg[0]_rep__3_n_0 ), + .I2(m_valid_i_reg), + .I3(si_rs_rready), + .I4(\cnt_read[3]_i_2_n_0 ), .O(\cnt_read[4]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair10" *) + (* SOFT_HLUTNM = "soft_lutpair9" *) LUT5 #( - .INIT(32'h00000010)) + .INIT(32'h00000400)) \cnt_read[4]_i_3 - (.I0(\cnt_read_reg[0]_rep__3_n_0 ), - .I1(m_valid_i_reg), - .I2(si_rs_rready), - .I3(wr_en0), + (.I0(\cnt_read_reg[0]_rep__2_n_0 ), + .I1(si_rs_rready), + .I2(m_valid_i_reg), + .I3(\cnt_read[3]_i_2_n_0 ), .I4(\cnt_read_reg[1]_rep__2_n_0 ), .O(\cnt_read[4]_i_3_n_0 )); (* KEEP = "yes" *) @@ -4812,6 +4731,14 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa .Q(\cnt_read_reg[1]_rep__2_n_0 ), .S(areset_d1)); (* KEEP = "yes" *) + (* ORIG_CELL_NAME = "cnt_read_reg[1]" *) + FDSE \cnt_read_reg[1]_rep__3 + (.C(aclk), + .CE(1'b1), + .D(\cnt_read[1]_i_1__1_n_0 ), + .Q(\cnt_read_reg[1]_rep__3_n_0 ), + .S(areset_d1)); + (* KEEP = "yes" *) (* ORIG_CELL_NAME = "cnt_read_reg[2]" *) FDSE \cnt_read_reg[2] (.C(aclk), @@ -4945,9 +4872,9 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa m_valid_i_i_2 (.I0(\cnt_read_reg[3]_rep__2_n_0 ), .I1(\cnt_read_reg[4]_rep__2_n_0 ), - .I2(\cnt_read_reg[0]_rep__3_n_0 ), - .I3(\cnt_read_reg[2]_rep__2_n_0 ), - .I4(\cnt_read_reg[1]_rep__2_n_0 ), + .I2(\cnt_read_reg[1]_rep__3_n_0 ), + .I3(\cnt_read_reg[0]_rep__3_n_0 ), + .I4(\cnt_read_reg[2]_rep__2_n_0 ), .I5(\cnt_read_reg[2]_rep__0_0 ), .O(m_valid_i_reg)); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] " *) @@ -4962,14 +4889,14 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa .Q(out[0]), .Q31(\NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED )); LUT6 #( - .INIT(64'hAA2A2AAA2A2A2AAA)) + .INIT(64'h800AAAAAAAAAAAAA)) \memory_reg[31][0]_srl32_i_1 (.I0(m_axi_rvalid), - .I1(\cnt_read_reg[4]_rep__2_n_0 ), - .I2(\cnt_read_reg[3]_rep__2_n_0 ), - .I3(\cnt_read_reg[1]_rep__2_n_0 ), - .I4(\cnt_read_reg[2]_rep__2_n_0 ), - .I5(\cnt_read_reg[0]_rep__3_n_0 ), + .I1(\cnt_read_reg[0]_rep__3_n_0 ), + .I2(\cnt_read_reg[2]_rep__2_n_0 ), + .I3(\cnt_read_reg[1]_rep__3_n_0 ), + .I4(\cnt_read_reg[3]_rep__2_n_0 ), + .I5(\cnt_read_reg[4]_rep__2_n_0 ), .O(wr_en0)); (* srl_bus_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] " *) (* srl_name = "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 " *) @@ -5339,15 +5266,15 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa \state[1]_i_4 (.I0(\cnt_read_reg[0]_rep__3_n_0 ), .I1(\cnt_read_reg[2]_rep__2_n_0 ), - .I2(\cnt_read_reg[1]_rep__2_n_0 ), + .I2(\cnt_read_reg[1]_rep__3_n_0 ), .I3(\cnt_read_reg[3]_rep__2_n_0 ), .I4(\cnt_read_reg[4]_rep__2_n_0 ), - .O(\state_reg[1]_rep )); + .O(\state_reg[0]_rep )); endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_simple_fifo" *) module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized2 - (\state_reg[1]_rep , + (\state_reg[0]_rep , m_valid_i_reg, \skid_buffer_reg[46] , s_ready_i_reg, @@ -5358,7 +5285,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa in, aclk, areset_d1); - output \state_reg[1]_rep ; + output \state_reg[0]_rep ; output m_valid_i_reg; output [12:0]\skid_buffer_reg[46] ; input s_ready_i_reg; @@ -5399,7 +5326,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa wire s_ready_i_reg; wire si_rs_rready; wire [12:0]\skid_buffer_reg[46] ; - wire \state_reg[1]_rep ; + wire \state_reg[0]_rep ; wire \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED ; wire \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED ; wire \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED ; @@ -5414,7 +5341,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa wire \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED ; wire \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED ; - (* SOFT_HLUTNM = "soft_lutpair11" *) + (* SOFT_HLUTNM = "soft_lutpair10" *) LUT3 #( .INIT(8'h69)) \cnt_read[0]_i_1__2 @@ -5422,7 +5349,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa .I1(s_ready_i_reg), .I2(r_push_r), .O(\cnt_read[0]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair11" *) + (* SOFT_HLUTNM = "soft_lutpair10" *) LUT4 #( .INIT(16'h7E81)) \cnt_read[1]_i_1__2 @@ -5766,97 +5693,93 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__pa .I3(\cnt_read_reg[2]_rep__0_n_0 ), .I4(\cnt_read_reg[4]_rep__0_n_0 ), .I5(\cnt_read_reg[3]_rep__0_n_0 ), - .O(\state_reg[1]_rep )); + .O(\state_reg[0]_rep )); endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm" *) module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm - (E, - \axlen_cnt_reg[7] , - \axlen_cnt_reg[7]_0 , - \axlen_cnt_reg[7]_1 , - \axlen_cnt_reg[7]_2 , + (D, + \axaddr_offset_r_reg[0] , + Q, + E, + \state_reg[1]_rep_0 , + \state_reg[1]_rep_1 , + \axlen_cnt_reg[0] , s_axburst_eq0_reg, - wrap_next_pending, sel_first_i, incr_next_pending, s_axburst_eq1_reg, - next_pending_r_reg, - \axlen_cnt_reg[3] , - \axaddr_offset_r_reg[0] , - D, - \wrap_cnt_r_reg[3] , + next, \wrap_second_len_r_reg[3] , - axaddr_offset, - \axaddr_incr_reg[11] , + \axlen_cnt_reg[3] , \m_payload_i_reg[0] , m_axi_awvalid, + \axaddr_incr_reg[11] , + \m_payload_i_reg[0]_0 , sel_first_reg, sel_first_reg_0, + \axaddr_offset_r_reg[3] , si_rs_awvalid, - \axlen_cnt_reg[6] , - Q, - next_pending_r_reg_0, + \wrap_second_len_r_reg[3]_0 , \m_payload_i_reg[44] , - \state_reg[1]_0 , - next_pending_r_reg_1, - \axlen_cnt_reg[0] , + \axlen_cnt_reg[0]_0 , + \axlen_cnt_reg[6] , + wrap_next_pending, + \m_payload_i_reg[48] , + next_pending_r_reg, \axaddr_offset_r_reg[1] , - \m_payload_i_reg[47] , + axaddr_offset, \m_payload_i_reg[35] , - \wrap_second_len_r_reg[3]_0 , - \axaddr_offset_r_reg[3] , + \axaddr_offset_r_reg[0]_0 , \m_payload_i_reg[3] , areset_d1, sel_first_reg_1, - \cnt_read_reg[1]_rep__1 , s_axburst_eq1_reg_0, + \cnt_read_reg[1]_rep__1 , m_axi_awready, sel_first_reg_2, \cnt_read_reg[1]_rep__1_0 , \cnt_read_reg[0]_rep__0 , sel_first__0, aclk); + output [2:0]D; + output [0:0]\axaddr_offset_r_reg[0] ; + output [1:0]Q; output [0:0]E; - output \axlen_cnt_reg[7] ; - output \axlen_cnt_reg[7]_0 ; - output \axlen_cnt_reg[7]_1 ; - output \axlen_cnt_reg[7]_2 ; + output \state_reg[1]_rep_0 ; + output \state_reg[1]_rep_1 ; + output [0:0]\axlen_cnt_reg[0] ; output s_axburst_eq0_reg; - output wrap_next_pending; output sel_first_i; output incr_next_pending; output s_axburst_eq1_reg; - output next_pending_r_reg; - output [0:0]\axlen_cnt_reg[3] ; - output [1:0]\axaddr_offset_r_reg[0] ; - output [0:0]D; - output [3:0]\wrap_cnt_r_reg[3] ; + output next; output [3:0]\wrap_second_len_r_reg[3] ; - output [0:0]axaddr_offset; - output \axaddr_incr_reg[11] ; - output [0:0]\m_payload_i_reg[0] ; + output [0:0]\axlen_cnt_reg[3] ; + output \m_payload_i_reg[0] ; output m_axi_awvalid; + output \axaddr_incr_reg[11] ; + output [0:0]\m_payload_i_reg[0]_0 ; output sel_first_reg; output sel_first_reg_0; + input \axaddr_offset_r_reg[3] ; input si_rs_awvalid; + input [3:0]\wrap_second_len_r_reg[3]_0 ; + input [1:0]\m_payload_i_reg[44] ; + input [0:0]\axlen_cnt_reg[0]_0 ; input \axlen_cnt_reg[6] ; - input [1:0]Q; - input next_pending_r_reg_0; - input \m_payload_i_reg[44] ; - input \state_reg[1]_0 ; - input next_pending_r_reg_1; - input [0:0]\axlen_cnt_reg[0] ; + input wrap_next_pending; + input \m_payload_i_reg[48] ; + input next_pending_r_reg; input \axaddr_offset_r_reg[1] ; - input [1:0]\m_payload_i_reg[47] ; + input [1:0]axaddr_offset; input \m_payload_i_reg[35] ; - input [3:0]\wrap_second_len_r_reg[3]_0 ; - input [1:0]\axaddr_offset_r_reg[3] ; + input [0:0]\axaddr_offset_r_reg[0]_0 ; input \m_payload_i_reg[3] ; input areset_d1; input sel_first_reg_1; - input \cnt_read_reg[1]_rep__1 ; input s_axburst_eq1_reg_0; + input \cnt_read_reg[1]_rep__1 ; input m_axi_awready; input sel_first_reg_2; input \cnt_read_reg[1]_rep__1_0 ; @@ -5864,37 +5787,35 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm input sel_first__0; input aclk; - wire [0:0]D; + wire [2:0]D; wire [0:0]E; wire [1:0]Q; wire aclk; wire areset_d1; wire \axaddr_incr_reg[11] ; - wire [0:0]axaddr_offset; - wire [1:0]\axaddr_offset_r_reg[0] ; + wire [1:0]axaddr_offset; + wire [0:0]\axaddr_offset_r_reg[0] ; + wire [0:0]\axaddr_offset_r_reg[0]_0 ; wire \axaddr_offset_r_reg[1] ; - wire [1:0]\axaddr_offset_r_reg[3] ; + wire \axaddr_offset_r_reg[3] ; wire [0:0]\axlen_cnt_reg[0] ; + wire [0:0]\axlen_cnt_reg[0]_0 ; wire [0:0]\axlen_cnt_reg[3] ; wire \axlen_cnt_reg[6] ; - wire \axlen_cnt_reg[7] ; - wire \axlen_cnt_reg[7]_0 ; - wire \axlen_cnt_reg[7]_1 ; - wire \axlen_cnt_reg[7]_2 ; wire \cnt_read_reg[0]_rep__0 ; wire \cnt_read_reg[1]_rep__1 ; wire \cnt_read_reg[1]_rep__1_0 ; wire incr_next_pending; wire m_axi_awready; wire m_axi_awvalid; - wire [0:0]\m_payload_i_reg[0] ; + wire \m_payload_i_reg[0] ; + wire [0:0]\m_payload_i_reg[0]_0 ; wire \m_payload_i_reg[35] ; wire \m_payload_i_reg[3] ; - wire \m_payload_i_reg[44] ; - wire [1:0]\m_payload_i_reg[47] ; + wire [1:0]\m_payload_i_reg[44] ; + wire \m_payload_i_reg[48] ; + wire next; wire next_pending_r_reg; - wire next_pending_r_reg_0; - wire next_pending_r_reg_1; wire [1:0]next_state; wire s_axburst_eq0_reg; wire s_axburst_eq1_reg; @@ -5906,129 +5827,108 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm wire sel_first_reg_1; wire sel_first_reg_2; wire si_rs_awvalid; - wire \state_reg[1]_0 ; + wire \state_reg[1]_rep_0 ; + wire \state_reg[1]_rep_1 ; wire \wrap_cnt_r[3]_i_2_n_0 ; - wire [3:0]\wrap_cnt_r_reg[3] ; wire wrap_next_pending; - wire \wrap_second_len_r[0]_i_2_n_0 ; wire [3:0]\wrap_second_len_r_reg[3] ; wire [3:0]\wrap_second_len_r_reg[3]_0 ; - (* SOFT_HLUTNM = "soft_lutpair103" *) + (* SOFT_HLUTNM = "soft_lutpair106" *) LUT4 #( .INIT(16'hEEFE)) \axaddr_incr[0]_i_1 (.I0(sel_first_reg_2), - .I1(\axlen_cnt_reg[7]_2 ), - .I2(\axlen_cnt_reg[7] ), - .I3(\axlen_cnt_reg[7]_0 ), + .I1(\m_payload_i_reg[0] ), + .I2(\state_reg[1]_rep_0 ), + .I3(\state_reg[1]_rep_1 ), .O(\axaddr_incr_reg[11] )); LUT6 #( .INIT(64'hAAAAACAAAAAAA0AA)) \axaddr_offset_r[0]_i_1 - (.I0(\axaddr_offset_r_reg[3] [0]), - .I1(Q[1]), - .I2(\axaddr_offset_r_reg[0] [1]), + (.I0(\axaddr_offset_r_reg[0]_0 ), + .I1(\m_payload_i_reg[44] [1]), + .I2(Q[1]), .I3(si_rs_awvalid), - .I4(\axaddr_offset_r_reg[0] [0]), + .I4(Q[0]), .I5(\m_payload_i_reg[3] ), - .O(axaddr_offset)); + .O(\axaddr_offset_r_reg[0] )); LUT6 #( .INIT(64'h0400FFFF04000400)) \axlen_cnt[0]_i_1 - (.I0(\axaddr_offset_r_reg[0] [0]), + (.I0(Q[0]), .I1(si_rs_awvalid), - .I2(\axaddr_offset_r_reg[0] [1]), - .I3(Q[1]), - .I4(\axlen_cnt_reg[0] ), + .I2(Q[1]), + .I3(\m_payload_i_reg[44] [1]), + .I4(\axlen_cnt_reg[0]_0 ), .I5(\axlen_cnt_reg[6] ), - .O(D)); - (* SOFT_HLUTNM = "soft_lutpair104" *) + .O(\axlen_cnt_reg[0] )); + (* SOFT_HLUTNM = "soft_lutpair106" *) LUT4 #( .INIT(16'hCCFE)) - \axlen_cnt[3]_i_1 + \axlen_cnt[7]_i_1 (.I0(si_rs_awvalid), - .I1(\axlen_cnt_reg[7]_2 ), - .I2(\axaddr_offset_r_reg[0] [1]), - .I3(\axaddr_offset_r_reg[0] [0]), + .I1(\m_payload_i_reg[0] ), + .I2(\state_reg[1]_rep_0 ), + .I3(\state_reg[1]_rep_1 ), .O(\axlen_cnt_reg[3] )); - (* SOFT_HLUTNM = "soft_lutpair101" *) - LUT5 #( - .INIT(32'h0000CCFE)) - \axlen_cnt[7]_i_1__0 - (.I0(si_rs_awvalid), - .I1(\axlen_cnt_reg[7]_2 ), - .I2(\axlen_cnt_reg[7] ), - .I3(\axlen_cnt_reg[7]_0 ), - .I4(\axlen_cnt_reg[6] ), - .O(\axlen_cnt_reg[7]_1 )); - (* SOFT_HLUTNM = "soft_lutpair103" *) + (* SOFT_HLUTNM = "soft_lutpair108" *) LUT2 #( .INIT(4'h2)) m_axi_awvalid_INST_0 - (.I0(\axlen_cnt_reg[7]_0 ), - .I1(\axlen_cnt_reg[7] ), + (.I0(\state_reg[1]_rep_1 ), + .I1(\state_reg[1]_rep_0 ), .O(m_axi_awvalid)); - (* SOFT_HLUTNM = "soft_lutpair104" *) LUT2 #( .INIT(4'hB)) \m_payload_i[31]_i_1 - (.I0(\axlen_cnt_reg[7]_2 ), + (.I0(\m_payload_i_reg[0] ), .I1(si_rs_awvalid), - .O(\m_payload_i_reg[0] )); + .O(\m_payload_i_reg[0]_0 )); LUT6 #( - .INIT(64'hA000A0A0A800A8A8)) + .INIT(64'hCFCF000045000000)) \memory_reg[3][0]_srl4_i_1 - (.I0(\axlen_cnt_reg[7]_0 ), - .I1(m_axi_awready), - .I2(\axlen_cnt_reg[7] ), - .I3(\cnt_read_reg[0]_rep__0 ), - .I4(\cnt_read_reg[1]_rep__1_0 ), - .I5(s_axburst_eq1_reg_0), - .O(\axlen_cnt_reg[7]_2 )); + (.I0(s_axburst_eq1_reg_0), + .I1(\cnt_read_reg[0]_rep__0 ), + .I2(\cnt_read_reg[1]_rep__1_0 ), + .I3(m_axi_awready), + .I4(\state_reg[1]_rep_1 ), + .I5(\state_reg[1]_rep_0 ), + .O(\m_payload_i_reg[0] )); LUT5 #( - .INIT(32'hFFFF44F0)) + .INIT(32'hB8BBB888)) next_pending_r_i_1 - (.I0(E), - .I1(next_pending_r_reg_0), + (.I0(\m_payload_i_reg[48] ), + .I1(E), .I2(\axlen_cnt_reg[6] ), - .I3(next_pending_r_reg), - .I4(\m_payload_i_reg[44] ), + .I3(next), + .I4(next_pending_r_reg), .O(incr_next_pending)); - LUT5 #( - .INIT(32'hFFAEBBAA)) - next_pending_r_i_1__0 - (.I0(\m_payload_i_reg[44] ), - .I1(next_pending_r_reg), - .I2(E), - .I3(\state_reg[1]_0 ), - .I4(next_pending_r_reg_1), - .O(wrap_next_pending)); LUT6 #( - .INIT(64'h0CAE0CFF00FF00FF)) - next_pending_r_i_3 - (.I0(s_axburst_eq1_reg_0), - .I1(\cnt_read_reg[1]_rep__1_0 ), - .I2(\cnt_read_reg[0]_rep__0 ), - .I3(\axlen_cnt_reg[7] ), - .I4(m_axi_awready), - .I5(\axlen_cnt_reg[7]_0 ), - .O(next_pending_r_reg)); - (* SOFT_HLUTNM = "soft_lutpair102" *) + .INIT(64'hAAAA22AAEAEA22EA)) + next_pending_r_i_4 + (.I0(\state_reg[1]_rep_0 ), + .I1(\state_reg[1]_rep_1 ), + .I2(m_axi_awready), + .I3(\cnt_read_reg[1]_rep__1_0 ), + .I4(\cnt_read_reg[0]_rep__0 ), + .I5(s_axburst_eq1_reg_0), + .O(next)); + (* SOFT_HLUTNM = "soft_lutpair107" *) LUT4 #( .INIT(16'hFB08)) s_axburst_eq0_i_1 (.I0(wrap_next_pending), - .I1(Q[0]), + .I1(\m_payload_i_reg[44] [0]), .I2(sel_first_i), .I3(incr_next_pending), .O(s_axburst_eq0_reg)); - (* SOFT_HLUTNM = "soft_lutpair102" *) + (* SOFT_HLUTNM = "soft_lutpair107" *) LUT4 #( .INIT(16'hABA8)) s_axburst_eq1_i_1 (.I0(wrap_next_pending), - .I1(Q[0]), + .I1(\m_payload_i_reg[44] [0]), .I2(sel_first_i), .I3(incr_next_pending), .O(s_axburst_eq1_reg)); @@ -6037,50 +5937,50 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm sel_first_i_1 (.I0(si_rs_awvalid), .I1(areset_d1), - .I2(\axlen_cnt_reg[7]_0 ), - .I3(\axlen_cnt_reg[7] ), - .I4(\axlen_cnt_reg[7]_2 ), + .I2(\state_reg[1]_rep_1 ), + .I3(\state_reg[1]_rep_0 ), + .I4(\m_payload_i_reg[0] ), .I5(sel_first_reg_1), .O(sel_first_i)); LUT6 #( .INIT(64'hFFFFFFFF44440F04)) sel_first_i_1__1 - (.I0(\axlen_cnt_reg[7]_2 ), + (.I0(\m_payload_i_reg[0] ), .I1(sel_first_reg_2), - .I2(\axaddr_offset_r_reg[0] [1]), + .I2(Q[1]), .I3(si_rs_awvalid), - .I4(\axaddr_offset_r_reg[0] [0]), + .I4(Q[0]), .I5(areset_d1), .O(sel_first_reg)); LUT6 #( .INIT(64'hFFFFFFFF44440F04)) sel_first_i_1__2 - (.I0(\axlen_cnt_reg[7]_2 ), + (.I0(\m_payload_i_reg[0] ), .I1(sel_first__0), - .I2(\axaddr_offset_r_reg[0] [1]), + .I2(Q[1]), .I3(si_rs_awvalid), - .I4(\axaddr_offset_r_reg[0] [0]), + .I4(Q[0]), .I5(areset_d1), .O(sel_first_reg_0)); LUT6 #( - .INIT(64'hF232FE32FE3EFE3E)) + .INIT(64'hAEFE0E0EFEFE5E5E)) \state[0]_i_1 - (.I0(si_rs_awvalid), - .I1(\axlen_cnt_reg[7]_0 ), - .I2(\axlen_cnt_reg[7] ), - .I3(\cnt_read_reg[1]_rep__1 ), - .I4(s_axburst_eq1_reg_0), + (.I0(\state_reg[1]_rep_0 ), + .I1(si_rs_awvalid), + .I2(\state_reg[1]_rep_1 ), + .I3(s_axburst_eq1_reg_0), + .I4(\cnt_read_reg[1]_rep__1 ), .I5(m_axi_awready), .O(next_state[0])); LUT6 #( - .INIT(64'h20E0202000E00000)) + .INIT(64'h2E220E0000000000)) \state[1]_i_1 (.I0(m_axi_awready), - .I1(\axlen_cnt_reg[7] ), - .I2(\axlen_cnt_reg[7]_0 ), - .I3(\cnt_read_reg[0]_rep__0 ), - .I4(\cnt_read_reg[1]_rep__1_0 ), - .I5(s_axburst_eq1_reg_0), + .I1(\state_reg[1]_rep_0 ), + .I2(\cnt_read_reg[0]_rep__0 ), + .I3(\cnt_read_reg[1]_rep__1_0 ), + .I4(s_axburst_eq1_reg_0), + .I5(\state_reg[1]_rep_1 ), .O(next_state[1])); (* KEEP = "yes" *) (* ORIG_CELL_NAME = "state_reg[0]" *) @@ -6088,7 +5988,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm (.C(aclk), .CE(1'b1), .D(next_state[0]), - .Q(\axaddr_offset_r_reg[0] [0]), + .Q(Q[0]), .R(areset_d1)); (* KEEP = "yes" *) (* ORIG_CELL_NAME = "state_reg[0]" *) @@ -6096,7 +5996,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm (.C(aclk), .CE(1'b1), .D(next_state[0]), - .Q(\axlen_cnt_reg[7]_0 ), + .Q(\state_reg[1]_rep_1 ), .R(areset_d1)); (* KEEP = "yes" *) (* ORIG_CELL_NAME = "state_reg[1]" *) @@ -6104,7 +6004,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm (.C(aclk), .CE(1'b1), .D(next_state[1]), - .Q(\axaddr_offset_r_reg[0] [1]), + .Q(Q[1]), .R(areset_d1)); (* KEEP = "yes" *) (* ORIG_CELL_NAME = "state_reg[1]" *) @@ -6112,45 +6012,36 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm (.C(aclk), .CE(1'b1), .D(next_state[1]), - .Q(\axlen_cnt_reg[7] ), + .Q(\state_reg[1]_rep_0 ), .R(areset_d1)); - (* SOFT_HLUTNM = "soft_lutpair101" *) + (* SOFT_HLUTNM = "soft_lutpair108" *) LUT3 #( .INIT(8'h04)) - \wrap_boundary_axaddr_r[11]_i_1__0 - (.I0(\axlen_cnt_reg[7] ), + \wrap_boundary_axaddr_r[11]_i_1 + (.I0(\state_reg[1]_rep_0 ), .I1(si_rs_awvalid), - .I2(\axlen_cnt_reg[7]_0 ), + .I2(\state_reg[1]_rep_1 ), .O(E)); LUT6 #( - .INIT(64'hAAAAA4AA55555455)) - \wrap_cnt_r[0]_i_1 - (.I0(\wrap_second_len_r[0]_i_2_n_0 ), - .I1(axaddr_offset), - .I2(\axaddr_offset_r_reg[0] [1]), + .INIT(64'h55555855AAAAA8AA)) + \wrap_cnt_r[0]_i_1__0 + (.I0(\axaddr_offset_r_reg[3] ), + .I1(\axaddr_offset_r_reg[0] ), + .I2(Q[1]), .I3(si_rs_awvalid), - .I4(\axaddr_offset_r_reg[0] [0]), + .I4(Q[0]), .I5(\wrap_second_len_r_reg[3]_0 [0]), - .O(\wrap_cnt_r_reg[3] [0])); - LUT5 #( - .INIT(32'h23106754)) - \wrap_cnt_r[1]_i_1 - (.I0(\wrap_second_len_r[0]_i_2_n_0 ), - .I1(E), - .I2(\wrap_second_len_r_reg[3]_0 [0]), - .I3(\wrap_second_len_r_reg[3]_0 [1]), - .I4(\axaddr_offset_r_reg[1] ), - .O(\wrap_cnt_r_reg[3] [1])); + .O(D[0])); LUT6 #( - .INIT(64'hA999A9AAAAAAAAAA)) + .INIT(64'h959AAAAAAAAAAAAA)) \wrap_cnt_r[2]_i_1 (.I0(\wrap_second_len_r_reg[3] [2]), - .I1(\wrap_second_len_r[0]_i_2_n_0 ), - .I2(axaddr_offset), - .I3(E), - .I4(\wrap_second_len_r_reg[3]_0 [0]), + .I1(\axaddr_offset_r_reg[0] ), + .I2(E), + .I3(\wrap_second_len_r_reg[3]_0 [0]), + .I4(\axaddr_offset_r_reg[3] ), .I5(\wrap_second_len_r_reg[3] [1]), - .O(\wrap_cnt_r_reg[3] [2])); + .O(D[1])); LUT4 #( .INIT(16'hA6AA)) \wrap_cnt_r[3]_i_1 @@ -6158,63 +6049,53 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm .I1(\wrap_second_len_r_reg[3] [1]), .I2(\wrap_cnt_r[3]_i_2_n_0 ), .I3(\wrap_second_len_r_reg[3] [2]), - .O(\wrap_cnt_r_reg[3] [3])); + .O(D[2])); LUT6 #( - .INIT(64'hAAAE0004AAAEFFFF)) + .INIT(64'hBB11BB11BB11BBF1)) \wrap_cnt_r[3]_i_2 - (.I0(axaddr_offset), - .I1(\axaddr_offset_r_reg[1] ), - .I2(\m_payload_i_reg[47] [1]), - .I3(\m_payload_i_reg[47] [0]), - .I4(E), - .I5(\wrap_second_len_r_reg[3]_0 [0]), + (.I0(E), + .I1(\wrap_second_len_r_reg[3]_0 [0]), + .I2(\axaddr_offset_r_reg[1] ), + .I3(\axaddr_offset_r_reg[0] ), + .I4(axaddr_offset[0]), + .I5(axaddr_offset[1]), .O(\wrap_cnt_r[3]_i_2_n_0 )); LUT6 #( - .INIT(64'hFFFFF1FF00000100)) + .INIT(64'hFFFFF2FF00000200)) \wrap_second_len_r[0]_i_1 - (.I0(\wrap_second_len_r[0]_i_2_n_0 ), - .I1(axaddr_offset), - .I2(\axaddr_offset_r_reg[0] [1]), + (.I0(\axaddr_offset_r_reg[3] ), + .I1(\axaddr_offset_r_reg[0] ), + .I2(Q[1]), .I3(si_rs_awvalid), - .I4(\axaddr_offset_r_reg[0] [0]), + .I4(Q[0]), .I5(\wrap_second_len_r_reg[3]_0 [0]), .O(\wrap_second_len_r_reg[3] [0])); - LUT6 #( - .INIT(64'h0000000004000404)) - \wrap_second_len_r[0]_i_2 - (.I0(axaddr_offset), - .I1(\axaddr_offset_r_reg[1] ), - .I2(\m_payload_i_reg[35] ), - .I3(E), - .I4(\axaddr_offset_r_reg[3] [1]), - .I5(\m_payload_i_reg[47] [0]), - .O(\wrap_second_len_r[0]_i_2_n_0 )); LUT6 #( .INIT(64'h2222EEE2EEEE2222)) \wrap_second_len_r[1]_i_1 (.I0(\wrap_second_len_r_reg[3]_0 [1]), .I1(E), - .I2(\m_payload_i_reg[47] [0]), - .I3(\m_payload_i_reg[47] [1]), - .I4(axaddr_offset), + .I2(axaddr_offset[0]), + .I3(axaddr_offset[1]), + .I4(\axaddr_offset_r_reg[0] ), .I5(\axaddr_offset_r_reg[1] ), .O(\wrap_second_len_r_reg[3] [1])); LUT6 #( - .INIT(64'hE2E2E2E22E22E2E2)) + .INIT(64'hEE2E22E2EE2E2222)) \wrap_second_len_r[2]_i_1 (.I0(\wrap_second_len_r_reg[3]_0 [2]), .I1(E), - .I2(\m_payload_i_reg[47] [0]), - .I3(\m_payload_i_reg[47] [1]), - .I4(\axaddr_offset_r_reg[1] ), - .I5(axaddr_offset), + .I2(\axaddr_offset_r_reg[1] ), + .I3(\axaddr_offset_r_reg[0] ), + .I4(axaddr_offset[0]), + .I5(axaddr_offset[1]), .O(\wrap_second_len_r_reg[3] [2])); LUT6 #( .INIT(64'hFB00FFFFFB00FB00)) \wrap_second_len_r[3]_i_1 - (.I0(axaddr_offset), + (.I0(\axaddr_offset_r_reg[0] ), .I1(\axaddr_offset_r_reg[1] ), - .I2(\m_payload_i_reg[47] [0]), + .I2(axaddr_offset[0]), .I3(\m_payload_i_reg[35] ), .I4(E), .I5(\wrap_second_len_r_reg[3]_0 [3]), @@ -6223,56 +6104,61 @@ endmodule (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_b2s_wrap_cmd" *) module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd - (next_pending_r_reg_0, + (wrap_next_pending, sel_first_reg_0, - next_pending_r_reg_1, m_axi_awaddr, - \axaddr_offset_r_reg[3]_0 , \wrap_second_len_r_reg[3]_0 , - wrap_next_pending, + \axaddr_offset_r_reg[3]_0 , aclk, sel_first_reg_1, E, \m_payload_i_reg[47] , + next, + \m_payload_i_reg[46] , \state_reg[1] , si_rs_awvalid, - \cnt_read_reg[1]_rep__1 , axaddr_incr_reg, \m_payload_i_reg[38] , \axaddr_incr_reg[3] , + \axaddr_offset_r_reg[3]_1 , + \axaddr_offset_r_reg[1]_0 , \m_payload_i_reg[47]_0 , \wrap_second_len_r_reg[3]_1 , m_valid_i_reg, - \wrap_second_len_r_reg[3]_2 , + D, \m_payload_i_reg[6] ); - output next_pending_r_reg_0; + output wrap_next_pending; output sel_first_reg_0; - output next_pending_r_reg_1; output [11:0]m_axi_awaddr; - output [3:0]\axaddr_offset_r_reg[3]_0 ; output [3:0]\wrap_second_len_r_reg[3]_0 ; - input wrap_next_pending; + output [3:0]\axaddr_offset_r_reg[3]_0 ; input aclk; input sel_first_reg_1; input [0:0]E; input [18:0]\m_payload_i_reg[47] ; + input next; + input \m_payload_i_reg[46] ; input [1:0]\state_reg[1] ; input si_rs_awvalid; - input \cnt_read_reg[1]_rep__1 ; input [7:0]axaddr_incr_reg; input \m_payload_i_reg[38] ; input [3:0]\axaddr_incr_reg[3] ; + input \axaddr_offset_r_reg[3]_1 ; + input \axaddr_offset_r_reg[1]_0 ; input [3:0]\m_payload_i_reg[47]_0 ; input [3:0]\wrap_second_len_r_reg[3]_1 ; input [0:0]m_valid_i_reg; - input [3:0]\wrap_second_len_r_reg[3]_2 ; + input [2:0]D; input [6:0]\m_payload_i_reg[6] ; + wire [2:0]D; wire [0:0]E; wire aclk; wire [7:0]axaddr_incr_reg; wire [3:0]\axaddr_incr_reg[3] ; + wire \axaddr_offset_r_reg[1]_0 ; wire [3:0]\axaddr_offset_r_reg[3]_0 ; + wire \axaddr_offset_r_reg[3]_1 ; wire [11:0]axaddr_wrap; wire [11:0]axaddr_wrap0; wire \axaddr_wrap[0]_i_1_n_0 ; @@ -6307,30 +6193,31 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd wire \axlen_cnt[0]_i_1__0_n_0 ; wire \axlen_cnt[1]_i_1__0_n_0 ; wire \axlen_cnt[2]_i_1__0_n_0 ; - wire \axlen_cnt[3]_i_1__1_n_0 ; + wire \axlen_cnt[3]_i_1__0_n_0 ; wire \axlen_cnt_reg_n_0_[0] ; wire \axlen_cnt_reg_n_0_[1] ; wire \axlen_cnt_reg_n_0_[2] ; wire \axlen_cnt_reg_n_0_[3] ; - wire \cnt_read_reg[1]_rep__1 ; wire [11:0]m_axi_awaddr; wire \m_payload_i_reg[38] ; + wire \m_payload_i_reg[46] ; wire [18:0]\m_payload_i_reg[47] ; wire [3:0]\m_payload_i_reg[47]_0 ; wire [6:0]\m_payload_i_reg[6] ; wire [0:0]m_valid_i_reg; - wire next_pending_r_reg_0; - wire next_pending_r_reg_1; + wire next; + wire next_pending_r_i_2_n_0; + wire next_pending_r_reg_n_0; wire sel_first_reg_0; wire sel_first_reg_1; wire si_rs_awvalid; wire [1:0]\state_reg[1] ; wire [11:0]wrap_boundary_axaddr_r; + wire [1:1]wrap_cnt; wire [3:0]wrap_cnt_r; wire wrap_next_pending; wire [3:0]\wrap_second_len_r_reg[3]_0 ; wire [3:0]\wrap_second_len_r_reg[3]_1 ; - wire [3:0]\wrap_second_len_r_reg[3]_2 ; wire [3:3]\NLW_axaddr_wrap_reg[11]_i_2_CO_UNCONNECTED ; FDRE \axaddr_offset_r_reg[0] @@ -6358,31 +6245,31 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd .Q(\axaddr_offset_r_reg[3]_0 [3]), .R(1'b0)); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[0]_i_1 - (.I0(\m_payload_i_reg[47] [0]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[0]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[0]), + (.I0(axaddr_wrap0[0]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[0]), + .I3(next), + .I4(\m_payload_i_reg[47] [0]), .O(\axaddr_wrap[0]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[10]_i_1 - (.I0(\m_payload_i_reg[47] [10]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[10]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[10]), + (.I0(axaddr_wrap0[10]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[10]), + .I3(next), + .I4(\m_payload_i_reg[47] [10]), .O(\axaddr_wrap[10]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[11]_i_1 - (.I0(\m_payload_i_reg[47] [11]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[11]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[11]), + (.I0(axaddr_wrap0[11]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[11]), + .I3(next), + .I4(\m_payload_i_reg[47] [11]), .O(\axaddr_wrap[11]_i_1_n_0 )); LUT3 #( .INIT(8'hF6)) @@ -6394,39 +6281,39 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd LUT6 #( .INIT(64'h6FF6FFFFFFFF6FF6)) \axaddr_wrap[11]_i_8 - (.I0(\axlen_cnt_reg_n_0_[2] ), - .I1(wrap_cnt_r[2]), - .I2(\axlen_cnt_reg_n_0_[1] ), - .I3(wrap_cnt_r[1]), - .I4(wrap_cnt_r[0]), - .I5(\axlen_cnt_reg_n_0_[0] ), + (.I0(wrap_cnt_r[0]), + .I1(\axlen_cnt_reg_n_0_[0] ), + .I2(\axlen_cnt_reg_n_0_[2] ), + .I3(wrap_cnt_r[2]), + .I4(\axlen_cnt_reg_n_0_[1] ), + .I5(wrap_cnt_r[1]), .O(\axaddr_wrap[11]_i_8_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[1]_i_1 - (.I0(\m_payload_i_reg[47] [1]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[1]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[1]), + (.I0(axaddr_wrap0[1]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[1]), + .I3(next), + .I4(\m_payload_i_reg[47] [1]), .O(\axaddr_wrap[1]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[2]_i_1 - (.I0(\m_payload_i_reg[47] [2]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[2]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[2]), + (.I0(axaddr_wrap0[2]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[2]), + .I3(next), + .I4(\m_payload_i_reg[47] [2]), .O(\axaddr_wrap[2]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[3]_i_1 - (.I0(\m_payload_i_reg[47] [3]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[3]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[3]), + (.I0(axaddr_wrap0[3]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[3]), + .I3(next), + .I4(\m_payload_i_reg[47] [3]), .O(\axaddr_wrap[3]_i_1_n_0 )); LUT3 #( .INIT(8'h6A)) @@ -6457,58 +6344,58 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd .I2(\m_payload_i_reg[47] [12]), .O(\axaddr_wrap[3]_i_6_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[4]_i_1 - (.I0(\m_payload_i_reg[47] [4]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[4]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[4]), + (.I0(axaddr_wrap0[4]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[4]), + .I3(next), + .I4(\m_payload_i_reg[47] [4]), .O(\axaddr_wrap[4]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[5]_i_1 - (.I0(\m_payload_i_reg[47] [5]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[5]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[5]), + (.I0(axaddr_wrap0[5]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[5]), + .I3(next), + .I4(\m_payload_i_reg[47] [5]), .O(\axaddr_wrap[5]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[6]_i_1 - (.I0(\m_payload_i_reg[47] [6]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[6]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[6]), + (.I0(axaddr_wrap0[6]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[6]), + .I3(next), + .I4(\m_payload_i_reg[47] [6]), .O(\axaddr_wrap[6]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[7]_i_1 - (.I0(\m_payload_i_reg[47] [7]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[7]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[7]), + (.I0(axaddr_wrap0[7]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[7]), + .I3(next), + .I4(\m_payload_i_reg[47] [7]), .O(\axaddr_wrap[7]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[8]_i_1 - (.I0(\m_payload_i_reg[47] [8]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[8]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[8]), + (.I0(axaddr_wrap0[8]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[8]), + .I3(next), + .I4(\m_payload_i_reg[47] [8]), .O(\axaddr_wrap[8]_i_1_n_0 )); LUT5 #( - .INIT(32'hB8BBB888)) + .INIT(32'hB8FFB800)) \axaddr_wrap[9]_i_1 - (.I0(\m_payload_i_reg[47] [9]), - .I1(\cnt_read_reg[1]_rep__1 ), - .I2(axaddr_wrap0[9]), - .I3(\axaddr_wrap[11]_i_3_n_0 ), - .I4(wrap_boundary_axaddr_r[9]), + (.I0(axaddr_wrap0[9]), + .I1(\axaddr_wrap[11]_i_3_n_0 ), + .I2(wrap_boundary_axaddr_r[9]), + .I3(next), + .I4(\m_payload_i_reg[47] [9]), .O(\axaddr_wrap[9]_i_1_n_0 )); FDRE \axaddr_wrap_reg[0] (.C(aclk), @@ -6609,9 +6496,9 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd (.I0(\m_payload_i_reg[47] [15]), .I1(\axlen_cnt_reg_n_0_[0] ), .I2(E), - .I3(\axlen_cnt_reg_n_0_[1] ), - .I4(\axlen_cnt_reg_n_0_[2] ), - .I5(\axlen_cnt_reg_n_0_[3] ), + .I3(\axlen_cnt_reg_n_0_[3] ), + .I4(\axlen_cnt_reg_n_0_[1] ), + .I5(\axlen_cnt_reg_n_0_[2] ), .O(\axlen_cnt[0]_i_1__0_n_0 )); LUT6 #( .INIT(64'hAAC3AAC3AAC3AAC0)) @@ -6620,8 +6507,8 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd .I1(\axlen_cnt_reg_n_0_[1] ), .I2(\axlen_cnt_reg_n_0_[0] ), .I3(E), - .I4(\axlen_cnt_reg_n_0_[2] ), - .I5(\axlen_cnt_reg_n_0_[3] ), + .I4(\axlen_cnt_reg_n_0_[3] ), + .I5(\axlen_cnt_reg_n_0_[2] ), .O(\axlen_cnt[1]_i_1__0_n_0 )); LUT6 #( .INIT(64'hAAAACCC3AAAACCC0)) @@ -6635,14 +6522,14 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd .O(\axlen_cnt[2]_i_1__0_n_0 )); LUT6 #( .INIT(64'hFFFFAAA80000AAA8)) - \axlen_cnt[3]_i_1__1 + \axlen_cnt[3]_i_1__0 (.I0(\axlen_cnt_reg_n_0_[3] ), .I1(\axlen_cnt_reg_n_0_[2] ), .I2(\axlen_cnt_reg_n_0_[1] ), .I3(\axlen_cnt_reg_n_0_[0] ), .I4(E), .I5(\m_payload_i_reg[47] [18]), - .O(\axlen_cnt[3]_i_1__1_n_0 )); + .O(\axlen_cnt[3]_i_1__0_n_0 )); FDRE \axlen_cnt_reg[0] (.C(aclk), .CE(m_valid_i_reg), @@ -6664,7 +6551,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd FDRE \axlen_cnt_reg[3] (.C(aclk), .CE(m_valid_i_reg), - .D(\axlen_cnt[3]_i_1__1_n_0 ), + .D(\axlen_cnt[3]_i_1__0_n_0 ), .Q(\axlen_cnt_reg_n_0_[3] ), .R(1'b0)); LUT6 #( @@ -6787,21 +6674,30 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd .I4(\m_payload_i_reg[38] ), .I5(\m_payload_i_reg[47] [9]), .O(m_axi_awaddr[9])); + LUT5 #( + .INIT(32'hC0E2FFE2)) + next_pending_r_i_1__0 + (.I0(next_pending_r_reg_n_0), + .I1(next), + .I2(next_pending_r_i_2_n_0), + .I3(E), + .I4(\m_payload_i_reg[46] ), + .O(wrap_next_pending)); LUT6 #( .INIT(64'hFBFBFBFBFBFBFB00)) - next_pending_r_i_2__0 + next_pending_r_i_2 (.I0(\state_reg[1] [1]), .I1(si_rs_awvalid), .I2(\state_reg[1] [0]), - .I3(\axlen_cnt_reg_n_0_[1] ), - .I4(\axlen_cnt_reg_n_0_[2] ), - .I5(\axlen_cnt_reg_n_0_[3] ), - .O(next_pending_r_reg_1)); + .I3(\axlen_cnt_reg_n_0_[3] ), + .I4(\axlen_cnt_reg_n_0_[1] ), + .I5(\axlen_cnt_reg_n_0_[2] ), + .O(next_pending_r_i_2_n_0)); FDRE next_pending_r_reg (.C(aclk), .CE(1'b1), .D(wrap_next_pending), - .Q(next_pending_r_reg_0), + .Q(next_pending_r_reg_n_0), .R(1'b0)); FDRE sel_first_reg (.C(aclk), @@ -6881,28 +6777,37 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd .D(\m_payload_i_reg[47] [9]), .Q(wrap_boundary_axaddr_r[9]), .R(1'b0)); + LUT5 #( + .INIT(32'h1540B5E0)) + \wrap_cnt_r[1]_i_1 + (.I0(E), + .I1(\wrap_second_len_r_reg[3]_0 [0]), + .I2(\axaddr_offset_r_reg[3]_1 ), + .I3(\wrap_second_len_r_reg[3]_0 [1]), + .I4(\axaddr_offset_r_reg[1]_0 ), + .O(wrap_cnt)); FDRE \wrap_cnt_r_reg[0] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_2 [0]), + .D(D[0]), .Q(wrap_cnt_r[0]), .R(1'b0)); FDRE \wrap_cnt_r_reg[1] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_2 [1]), + .D(wrap_cnt), .Q(wrap_cnt_r[1]), .R(1'b0)); FDRE \wrap_cnt_r_reg[2] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_2 [2]), + .D(D[1]), .Q(wrap_cnt_r[2]), .R(1'b0)); FDRE \wrap_cnt_r_reg[3] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_2 [3]), + .D(D[2]), .Q(wrap_cnt_r[3]), .R(1'b0)); FDRE \wrap_second_len_r_reg[0] @@ -6937,57 +6842,56 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 sel_first_reg_0, next_pending_r_reg_1, m_axi_araddr, - \wrap_second_len_r_reg[3]_0 , \axaddr_offset_r_reg[3]_0 , + \wrap_second_len_r_reg[3]_0 , wrap_next_pending, aclk, sel_first_reg_1, E, \m_payload_i_reg[47] , - \state_reg[1] , + \state_reg[0]_rep , si_rs_arvalid, \state_reg[1]_rep , + \state_reg[1]_rep_0 , axaddr_incr_reg, \m_payload_i_reg[38] , \axaddr_incr_reg[3] , - \axaddr_offset_r_reg[3]_1 , - \m_payload_i_reg[35] , - \m_payload_i_reg[47]_0 , - \wrap_second_len_r_reg[3]_1 , + axaddr_offset, + D, m_valid_i_reg, - \wrap_second_len_r_reg[3]_2 , + \wrap_second_len_r_reg[3]_1 , \m_payload_i_reg[6] ); output next_pending_r_reg_0; output sel_first_reg_0; output next_pending_r_reg_1; output [11:0]m_axi_araddr; - output [3:0]\wrap_second_len_r_reg[3]_0 ; output [3:0]\axaddr_offset_r_reg[3]_0 ; + output [3:0]\wrap_second_len_r_reg[3]_0 ; input wrap_next_pending; input aclk; input sel_first_reg_1; input [0:0]E; input [18:0]\m_payload_i_reg[47] ; - input [1:0]\state_reg[1] ; + input \state_reg[0]_rep ; input si_rs_arvalid; input \state_reg[1]_rep ; + input \state_reg[1]_rep_0 ; input [7:0]axaddr_incr_reg; input \m_payload_i_reg[38] ; input [3:0]\axaddr_incr_reg[3] ; - input \axaddr_offset_r_reg[3]_1 ; - input \m_payload_i_reg[35] ; - input [3:0]\m_payload_i_reg[47]_0 ; - input [3:0]\wrap_second_len_r_reg[3]_1 ; + input [3:0]axaddr_offset; + input [3:0]D; input [0:0]m_valid_i_reg; - input [2:0]\wrap_second_len_r_reg[3]_2 ; + input [3:0]\wrap_second_len_r_reg[3]_1 ; input [6:0]\m_payload_i_reg[6] ; + wire [3:0]D; wire [0:0]E; wire aclk; wire [7:0]axaddr_incr_reg; wire [3:0]\axaddr_incr_reg[3] ; + wire [3:0]axaddr_offset; wire [3:0]\axaddr_offset_r_reg[3]_0 ; - wire \axaddr_offset_r_reg[3]_1 ; wire \axaddr_wrap[0]_i_1__0_n_0 ; wire \axaddr_wrap[10]_i_1__0_n_0 ; wire \axaddr_wrap[11]_i_1__0_n_0 ; @@ -7050,10 +6954,8 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 wire \axlen_cnt_reg_n_0_[2] ; wire \axlen_cnt_reg_n_0_[3] ; wire [11:0]m_axi_araddr; - wire \m_payload_i_reg[35] ; wire \m_payload_i_reg[38] ; wire [18:0]\m_payload_i_reg[47] ; - wire [3:0]\m_payload_i_reg[47]_0 ; wire [6:0]\m_payload_i_reg[6] ; wire [0:0]m_valid_i_reg; wire next_pending_r_reg_0; @@ -7061,8 +6963,9 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 wire sel_first_reg_0; wire sel_first_reg_1; wire si_rs_arvalid; - wire [1:0]\state_reg[1] ; + wire \state_reg[0]_rep ; wire \state_reg[1]_rep ; + wire \state_reg[1]_rep_0 ; wire \wrap_boundary_axaddr_r_reg_n_0_[0] ; wire \wrap_boundary_axaddr_r_reg_n_0_[10] ; wire \wrap_boundary_axaddr_r_reg_n_0_[11] ; @@ -7075,7 +6978,6 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 wire \wrap_boundary_axaddr_r_reg_n_0_[7] ; wire \wrap_boundary_axaddr_r_reg_n_0_[8] ; wire \wrap_boundary_axaddr_r_reg_n_0_[9] ; - wire \wrap_cnt_r[1]_i_1__0_n_0 ; wire \wrap_cnt_r_reg_n_0_[0] ; wire \wrap_cnt_r_reg_n_0_[1] ; wire \wrap_cnt_r_reg_n_0_[2] ; @@ -7083,31 +6985,30 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 wire wrap_next_pending; wire [3:0]\wrap_second_len_r_reg[3]_0 ; wire [3:0]\wrap_second_len_r_reg[3]_1 ; - wire [2:0]\wrap_second_len_r_reg[3]_2 ; wire [3:3]\NLW_axaddr_wrap_reg[11]_i_2__0_CO_UNCONNECTED ; FDRE \axaddr_offset_r_reg[0] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[47]_0 [0]), + .D(axaddr_offset[0]), .Q(\axaddr_offset_r_reg[3]_0 [0]), .R(1'b0)); FDRE \axaddr_offset_r_reg[1] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[47]_0 [1]), + .D(axaddr_offset[1]), .Q(\axaddr_offset_r_reg[3]_0 [1]), .R(1'b0)); FDRE \axaddr_offset_r_reg[2] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[47]_0 [2]), + .D(axaddr_offset[2]), .Q(\axaddr_offset_r_reg[3]_0 [2]), .R(1'b0)); FDRE \axaddr_offset_r_reg[3] (.C(aclk), .CE(1'b1), - .D(\m_payload_i_reg[47]_0 [3]), + .D(axaddr_offset[3]), .Q(\axaddr_offset_r_reg[3]_0 [3]), .R(1'b0)); LUT5 #( @@ -7116,7 +7017,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[3]_i_2__0_n_7 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[0] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [0]), .O(\axaddr_wrap[0]_i_1__0_n_0 )); LUT5 #( @@ -7125,7 +7026,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[11]_i_2__0_n_5 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[10] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [10]), .O(\axaddr_wrap[10]_i_1__0_n_0 )); LUT5 #( @@ -7134,7 +7035,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[11]_i_2__0_n_4 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[11] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [11]), .O(\axaddr_wrap[11]_i_1__0_n_0 )); LUT3 #( @@ -7149,10 +7050,10 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 \axaddr_wrap[11]_i_8__0 (.I0(\wrap_cnt_r_reg_n_0_[0] ), .I1(\axlen_cnt_reg_n_0_[0] ), - .I2(\axlen_cnt_reg_n_0_[2] ), - .I3(\wrap_cnt_r_reg_n_0_[2] ), - .I4(\axlen_cnt_reg_n_0_[1] ), - .I5(\wrap_cnt_r_reg_n_0_[1] ), + .I2(\axlen_cnt_reg_n_0_[1] ), + .I3(\wrap_cnt_r_reg_n_0_[1] ), + .I4(\axlen_cnt_reg_n_0_[2] ), + .I5(\wrap_cnt_r_reg_n_0_[2] ), .O(\axaddr_wrap[11]_i_8__0_n_0 )); LUT5 #( .INIT(32'hB8FFB800)) @@ -7160,7 +7061,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[3]_i_2__0_n_6 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[1] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [1]), .O(\axaddr_wrap[1]_i_1__0_n_0 )); LUT5 #( @@ -7169,7 +7070,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[3]_i_2__0_n_5 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[2] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [2]), .O(\axaddr_wrap[2]_i_1__0_n_0 )); LUT5 #( @@ -7178,7 +7079,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[3]_i_2__0_n_4 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[3] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [3]), .O(\axaddr_wrap[3]_i_1__0_n_0 )); LUT3 #( @@ -7215,7 +7116,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[7]_i_2__0_n_7 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[4] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [4]), .O(\axaddr_wrap[4]_i_1__0_n_0 )); LUT5 #( @@ -7224,7 +7125,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[7]_i_2__0_n_6 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[5] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [5]), .O(\axaddr_wrap[5]_i_1__0_n_0 )); LUT5 #( @@ -7233,7 +7134,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[7]_i_2__0_n_5 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[6] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [6]), .O(\axaddr_wrap[6]_i_1__0_n_0 )); LUT5 #( @@ -7242,7 +7143,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[7]_i_2__0_n_4 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[7] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [7]), .O(\axaddr_wrap[7]_i_1__0_n_0 )); LUT5 #( @@ -7251,7 +7152,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[11]_i_2__0_n_7 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[8] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [8]), .O(\axaddr_wrap[8]_i_1__0_n_0 )); LUT5 #( @@ -7260,7 +7161,7 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 (.I0(\axaddr_wrap_reg[11]_i_2__0_n_6 ), .I1(\axaddr_wrap[11]_i_3__0_n_0 ), .I2(\wrap_boundary_axaddr_r_reg_n_0_[9] ), - .I3(\state_reg[1]_rep ), + .I3(\state_reg[1]_rep_0 ), .I4(\m_payload_i_reg[47] [9]), .O(\axaddr_wrap[9]_i_1__0_n_0 )); FDRE \axaddr_wrap_reg[0] @@ -7357,14 +7258,14 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 .Q(\axaddr_wrap_reg_n_0_[9] ), .R(1'b0)); LUT6 #( - .INIT(64'hA3A3A3A3A3A3A3A0)) + .INIT(64'hFFFF555400005554)) \axlen_cnt[0]_i_1__2 - (.I0(\m_payload_i_reg[47] [15]), - .I1(\axlen_cnt_reg_n_0_[0] ), - .I2(E), - .I3(\axlen_cnt_reg_n_0_[1] ), - .I4(\axlen_cnt_reg_n_0_[2] ), - .I5(\axlen_cnt_reg_n_0_[3] ), + (.I0(\axlen_cnt_reg_n_0_[0] ), + .I1(\axlen_cnt_reg_n_0_[1] ), + .I2(\axlen_cnt_reg_n_0_[2] ), + .I3(\axlen_cnt_reg_n_0_[3] ), + .I4(E), + .I5(\m_payload_i_reg[47] [15]), .O(\axlen_cnt[0]_i_1__2_n_0 )); LUT6 #( .INIT(64'hAAC3AAC3AAC3AAC0)) @@ -7542,10 +7443,10 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 .O(m_axi_araddr[9])); LUT6 #( .INIT(64'hFBFBFBFBFBFBFB00)) - next_pending_r_i_2__2 - (.I0(\state_reg[1] [0]), + next_pending_r_i_3__1 + (.I0(\state_reg[0]_rep ), .I1(si_rs_arvalid), - .I2(\state_reg[1] [1]), + .I2(\state_reg[1]_rep ), .I3(\axlen_cnt_reg_n_0_[1] ), .I4(\axlen_cnt_reg_n_0_[2] ), .I5(\axlen_cnt_reg_n_0_[3] ), @@ -7634,61 +7535,52 @@ module system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 .D(\m_payload_i_reg[47] [9]), .Q(\wrap_boundary_axaddr_r_reg_n_0_[9] ), .R(1'b0)); - LUT5 #( - .INIT(32'h13D320E0)) - \wrap_cnt_r[1]_i_1__0 - (.I0(\wrap_second_len_r_reg[3]_0 [0]), - .I1(E), - .I2(\axaddr_offset_r_reg[3]_1 ), - .I3(\m_payload_i_reg[35] ), - .I4(\wrap_second_len_r_reg[3]_0 [1]), - .O(\wrap_cnt_r[1]_i_1__0_n_0 )); FDRE \wrap_cnt_r_reg[0] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_2 [0]), + .D(\wrap_second_len_r_reg[3]_1 [0]), .Q(\wrap_cnt_r_reg_n_0_[0] ), .R(1'b0)); FDRE \wrap_cnt_r_reg[1] (.C(aclk), .CE(1'b1), - .D(\wrap_cnt_r[1]_i_1__0_n_0 ), + .D(\wrap_second_len_r_reg[3]_1 [1]), .Q(\wrap_cnt_r_reg_n_0_[1] ), .R(1'b0)); FDRE \wrap_cnt_r_reg[2] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_2 [1]), + .D(\wrap_second_len_r_reg[3]_1 [2]), .Q(\wrap_cnt_r_reg_n_0_[2] ), .R(1'b0)); FDRE \wrap_cnt_r_reg[3] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_2 [2]), + .D(\wrap_second_len_r_reg[3]_1 [3]), .Q(\wrap_cnt_r_reg_n_0_[3] ), .R(1'b0)); FDRE \wrap_second_len_r_reg[0] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_1 [0]), + .D(D[0]), .Q(\wrap_second_len_r_reg[3]_0 [0]), .R(1'b0)); FDRE \wrap_second_len_r_reg[1] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_1 [1]), + .D(D[1]), .Q(\wrap_second_len_r_reg[3]_0 [1]), .R(1'b0)); FDRE \wrap_second_len_r_reg[2] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_1 [2]), + .D(D[2]), .Q(\wrap_second_len_r_reg[3]_0 [2]), .R(1'b0)); FDRE \wrap_second_len_r_reg[3] (.C(aclk), .CE(1'b1), - .D(\wrap_second_len_r_reg[3]_1 [3]), + .D(D[3]), .Q(\wrap_second_len_r_reg[3]_0 [3]), .R(1'b0)); endmodule @@ -7703,6 +7595,8 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice si_rs_arvalid, s_axi_rvalid, si_rs_rready, + \wrap_cnt_r_reg[3] , + D, Q, \s_arid_r_reg[11] , \axaddr_incr_reg[11] , @@ -7712,24 +7606,21 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice \axaddr_incr_reg[11]_0 , \axaddr_incr_reg[7]_0 , \axaddr_incr_reg[3] , + \wrap_cnt_r_reg[1] , + \wrap_second_len_r_reg[3] , axaddr_offset, \axaddr_offset_r_reg[1] , - \wrap_second_len_r_reg[3] , \axlen_cnt_reg[3] , next_pending_r_reg, - shandshake, - \wrap_cnt_r_reg[2] , - D, - \wrap_cnt_r_reg[2]_0 , - \axaddr_offset_r_reg[3] , - \axaddr_offset_r_reg[1]_0 , - \wrap_second_len_r_reg[3]_0 , next_pending_r_reg_0, + shandshake, + axaddr_offset_0, \axlen_cnt_reg[3]_0 , + next_pending_r_reg_1, + next_pending_r_reg_2, \wrap_boundary_axaddr_r_reg[6] , \axaddr_offset_r_reg[0] , \wrap_boundary_axaddr_r_reg[6]_0 , - \axaddr_offset_r_reg[0]_0 , \m_axi_awaddr[10] , \m_axi_araddr[10] , \s_axi_bid[11] , @@ -7741,19 +7632,20 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice s_axi_rready, S, \m_payload_i_reg[3] , - \axaddr_offset_r_reg[3]_0 , \state_reg[1]_rep , + \axaddr_offset_r_reg[3] , + \axaddr_offset_r_reg[0]_0 , \state_reg[1]_rep_0 , \state_reg[0]_rep , s_axi_awvalid, b_push, si_rs_bvalid, - \wrap_second_len_r_reg[2] , \state_reg[1]_rep_1 , - axaddr_offset_0, - \axaddr_offset_r_reg[3]_1 , - \state_reg[1]_rep_2 , + \wrap_second_len_r_reg[3]_0 , + \state_reg[1] , + \axaddr_offset_r_reg[3]_0 , \state_reg[0]_rep_0 , + \state_reg[1]_rep_2 , sel_first, sel_first_1, s_axi_bready, @@ -7786,8 +7678,10 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice output si_rs_arvalid; output s_axi_rvalid; output si_rs_rready; - output [53:0]Q; - output [53:0]\s_arid_r_reg[11] ; + output [3:0]\wrap_cnt_r_reg[3] ; + output [3:0]D; + output [57:0]Q; + output [57:0]\s_arid_r_reg[11] ; output [7:0]\axaddr_incr_reg[11] ; output [0:0]CO; output [3:0]O; @@ -7795,24 +7689,21 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice output [3:0]\axaddr_incr_reg[11]_0 ; output [0:0]\axaddr_incr_reg[7]_0 ; output [3:0]\axaddr_incr_reg[3] ; + output \wrap_cnt_r_reg[1] ; + output \wrap_second_len_r_reg[3] ; output [2:0]axaddr_offset; output \axaddr_offset_r_reg[1] ; - output \wrap_second_len_r_reg[3] ; output \axlen_cnt_reg[3] ; output next_pending_r_reg; - output shandshake; - output [0:0]\wrap_cnt_r_reg[2] ; - output [1:0]D; - output \wrap_cnt_r_reg[2]_0 ; - output [2:0]\axaddr_offset_r_reg[3] ; - output \axaddr_offset_r_reg[1]_0 ; - output \wrap_second_len_r_reg[3]_0 ; output next_pending_r_reg_0; + output shandshake; + output [3:0]axaddr_offset_0; output \axlen_cnt_reg[3]_0 ; + output next_pending_r_reg_1; + output next_pending_r_reg_2; output [6:0]\wrap_boundary_axaddr_r_reg[6] ; output \axaddr_offset_r_reg[0] ; output [6:0]\wrap_boundary_axaddr_r_reg[6]_0 ; - output \axaddr_offset_r_reg[0]_0 ; output \m_axi_awaddr[10] ; output \m_axi_araddr[10] ; output [13:0]\s_axi_bid[11] ; @@ -7824,31 +7715,32 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice input s_axi_rready; input [3:0]S; input [3:0]\m_payload_i_reg[3] ; - input [2:0]\axaddr_offset_r_reg[3]_0 ; input \state_reg[1]_rep ; + input [2:0]\axaddr_offset_r_reg[3] ; + input [0:0]\axaddr_offset_r_reg[0]_0 ; input \state_reg[1]_rep_0 ; input \state_reg[0]_rep ; input s_axi_awvalid; input b_push; input si_rs_bvalid; - input [2:0]\wrap_second_len_r_reg[2] ; input \state_reg[1]_rep_1 ; - input [0:0]axaddr_offset_0; - input [2:0]\axaddr_offset_r_reg[3]_1 ; - input \state_reg[1]_rep_2 ; + input [3:0]\wrap_second_len_r_reg[3]_0 ; + input [1:0]\state_reg[1] ; + input [3:0]\axaddr_offset_r_reg[3]_0 ; input \state_reg[0]_rep_0 ; + input \state_reg[1]_rep_2 ; input sel_first; input sel_first_1; input s_axi_bready; input s_axi_arvalid; input [11:0]s_axi_awid; - input [3:0]s_axi_awlen; + input [7:0]s_axi_awlen; input [1:0]s_axi_awburst; input [1:0]s_axi_awsize; input [2:0]s_axi_awprot; input [31:0]s_axi_awaddr; input [11:0]s_axi_arid; - input [3:0]s_axi_arlen; + input [7:0]s_axi_arlen; input [1:0]s_axi_arburst; input [1:0]s_axi_arsize; input [2:0]s_axi_arprot; @@ -7863,16 +7755,16 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice input [0:0]\state_reg[1]_rep_3 ; wire [0:0]CO; - wire [1:0]D; + wire [3:0]D; wire [0:0]E; wire [3:0]O; - wire [53:0]Q; + wire [57:0]Q; wire [3:0]S; wire aclk; wire ar_pipe_n_2; wire aresetn; wire aw_pipe_n_1; - wire aw_pipe_n_86; + wire aw_pipe_n_92; wire [3:0]axaddr_incr_reg; wire [7:0]\axaddr_incr_reg[11] ; wire [3:0]\axaddr_incr_reg[11]_0 ; @@ -7881,14 +7773,12 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice wire [3:0]\axaddr_incr_reg[7] ; wire [0:0]\axaddr_incr_reg[7]_0 ; wire [2:0]axaddr_offset; - wire [0:0]axaddr_offset_0; + wire [3:0]axaddr_offset_0; wire \axaddr_offset_r_reg[0] ; - wire \axaddr_offset_r_reg[0]_0 ; + wire [0:0]\axaddr_offset_r_reg[0]_0 ; wire \axaddr_offset_r_reg[1] ; - wire \axaddr_offset_r_reg[1]_0 ; wire [2:0]\axaddr_offset_r_reg[3] ; - wire [2:0]\axaddr_offset_r_reg[3]_0 ; - wire [2:0]\axaddr_offset_r_reg[3]_1 ; + wire [3:0]\axaddr_offset_r_reg[3]_0 ; wire \axlen_cnt_reg[3] ; wire \axlen_cnt_reg[3]_0 ; wire b_push; @@ -7900,13 +7790,15 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice wire m_valid_i0; wire next_pending_r_reg; wire next_pending_r_reg_0; + wire next_pending_r_reg_1; + wire next_pending_r_reg_2; wire [11:0]out; wire [12:0]r_push_r_reg; - wire [53:0]\s_arid_r_reg[11] ; + wire [57:0]\s_arid_r_reg[11] ; wire [31:0]s_axi_araddr; wire [1:0]s_axi_arburst; wire [11:0]s_axi_arid; - wire [3:0]s_axi_arlen; + wire [7:0]s_axi_arlen; wire [2:0]s_axi_arprot; wire s_axi_arready; wire [1:0]s_axi_arsize; @@ -7914,7 +7806,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice wire [31:0]s_axi_awaddr; wire [1:0]s_axi_awburst; wire [11:0]s_axi_awid; - wire [3:0]s_axi_awlen; + wire [7:0]s_axi_awlen; wire [2:0]s_axi_awprot; wire s_axi_awready; wire [1:0]s_axi_awsize; @@ -7936,6 +7828,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice wire si_rs_rready; wire \state_reg[0]_rep ; wire \state_reg[0]_rep_0 ; + wire [1:0]\state_reg[1] ; wire \state_reg[1]_rep ; wire \state_reg[1]_rep_0 ; wire \state_reg[1]_rep_1 ; @@ -7943,35 +7836,34 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice wire [0:0]\state_reg[1]_rep_3 ; wire [6:0]\wrap_boundary_axaddr_r_reg[6] ; wire [6:0]\wrap_boundary_axaddr_r_reg[6]_0 ; - wire [0:0]\wrap_cnt_r_reg[2] ; - wire \wrap_cnt_r_reg[2]_0 ; - wire [2:0]\wrap_second_len_r_reg[2] ; + wire \wrap_cnt_r_reg[1] ; + wire [3:0]\wrap_cnt_r_reg[3] ; wire \wrap_second_len_r_reg[3] ; - wire \wrap_second_len_r_reg[3]_0 ; + wire [3:0]\wrap_second_len_r_reg[3]_0 ; system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice ar_pipe - (.D(D), + (.D({D[3:2],D[0]}), .Q(\s_arid_r_reg[11] ), .aclk(aclk), .\aresetn_d_reg[0] (aw_pipe_n_1), - .\aresetn_d_reg[0]_0 (aw_pipe_n_86), + .\aresetn_d_reg[0]_0 (aw_pipe_n_92), .\axaddr_incr_reg[11] (\axaddr_incr_reg[11]_0 ), .\axaddr_incr_reg[3] (\axaddr_incr_reg[3] ), .\axaddr_incr_reg[3]_0 (\axaddr_incr_reg[3]_0 ), .\axaddr_incr_reg[7] (\axaddr_incr_reg[7] ), .\axaddr_incr_reg[7]_0 (\axaddr_incr_reg[7]_0 ), - .axaddr_offset_0(axaddr_offset_0), - .\axaddr_offset_r_reg[0] (\axaddr_offset_r_reg[0]_0 ), - .\axaddr_offset_r_reg[1] (\axaddr_offset_r_reg[1]_0 ), - .\axaddr_offset_r_reg[2] (\axaddr_offset_r_reg[3] [1]), - .\axaddr_offset_r_reg[3] ({\axaddr_offset_r_reg[3] [2],\axaddr_offset_r_reg[3] [0]}), - .\axaddr_offset_r_reg[3]_0 (\axaddr_offset_r_reg[3]_1 ), + .axaddr_offset_0(axaddr_offset_0[2]), + .\axaddr_offset_r_reg[0] (axaddr_offset_0[0]), + .\axaddr_offset_r_reg[1] (axaddr_offset_0[1]), + .\axaddr_offset_r_reg[3] (axaddr_offset_0[3]), + .\axaddr_offset_r_reg[3]_0 (\axaddr_offset_r_reg[3]_0 ), .\axlen_cnt_reg[3] (\axlen_cnt_reg[3]_0 ), .\m_axi_araddr[10] (\m_axi_araddr[10] ), .\m_payload_i_reg[3]_0 (\m_payload_i_reg[3] ), .m_valid_i0(m_valid_i0), .m_valid_i_reg_0(ar_pipe_n_2), - .next_pending_r_reg(next_pending_r_reg_0), + .next_pending_r_reg(next_pending_r_reg_1), + .next_pending_r_reg_0(next_pending_r_reg_2), .s_axi_araddr(s_axi_araddr), .s_axi_arburst(s_axi_arburst), .s_axi_arid(s_axi_arid), @@ -7983,13 +7875,13 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice .s_ready_i_reg_0(si_rs_arvalid), .sel_first_1(sel_first_1), .\state_reg[0]_rep (\state_reg[0]_rep_0 ), + .\state_reg[1] (\state_reg[1] ), .\state_reg[1]_rep (\state_reg[1]_rep_1 ), .\state_reg[1]_rep_0 (\state_reg[1]_rep_2 ), .\state_reg[1]_rep_1 (\state_reg[1]_rep_3 ), .\wrap_boundary_axaddr_r_reg[6] (\wrap_boundary_axaddr_r_reg[6]_0 ), - .\wrap_cnt_r_reg[2] (\wrap_cnt_r_reg[2] ), - .\wrap_cnt_r_reg[2]_0 (\wrap_cnt_r_reg[2]_0 ), - .\wrap_second_len_r_reg[2] (\wrap_second_len_r_reg[2] ), + .\wrap_cnt_r_reg[3] (\wrap_cnt_r_reg[3] ), + .\wrap_second_len_r_reg[1] (D[1]), .\wrap_second_len_r_reg[3] (\wrap_second_len_r_reg[3]_0 )); system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 aw_pipe (.CO(CO), @@ -7999,19 +7891,21 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice .S(S), .aclk(aclk), .aresetn(aresetn), - .\aresetn_d_reg[1]_inv (aw_pipe_n_86), + .\aresetn_d_reg[1]_inv (aw_pipe_n_92), .\aresetn_d_reg[1]_inv_0 (ar_pipe_n_2), .axaddr_incr_reg(axaddr_incr_reg), .\axaddr_incr_reg[11] (\axaddr_incr_reg[11] ), .axaddr_offset(axaddr_offset), .\axaddr_offset_r_reg[0] (\axaddr_offset_r_reg[0] ), + .\axaddr_offset_r_reg[0]_0 (\axaddr_offset_r_reg[0]_0 ), .\axaddr_offset_r_reg[1] (\axaddr_offset_r_reg[1] ), - .\axaddr_offset_r_reg[3] (\axaddr_offset_r_reg[3]_0 ), + .\axaddr_offset_r_reg[3] (\axaddr_offset_r_reg[3] ), .\axlen_cnt_reg[3] (\axlen_cnt_reg[3] ), .b_push(b_push), .\m_axi_awaddr[10] (\m_axi_awaddr[10] ), .m_valid_i_reg_0(si_rs_awvalid), .next_pending_r_reg(next_pending_r_reg), + .next_pending_r_reg_0(next_pending_r_reg_0), .s_axi_awaddr(s_axi_awaddr), .s_axi_awburst(s_axi_awburst), .s_axi_awid(s_axi_awid), @@ -8026,6 +7920,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice .\state_reg[1]_rep (\state_reg[1]_rep ), .\state_reg[1]_rep_0 (\state_reg[1]_rep_0 ), .\wrap_boundary_axaddr_r_reg[6] (\wrap_boundary_axaddr_r_reg[6] ), + .\wrap_cnt_r_reg[1] (\wrap_cnt_r_reg[1] ), .\wrap_second_len_r_reg[3] (\wrap_second_len_r_reg[3] )); system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__parameterized1 b_pipe (.aclk(aclk), @@ -8057,34 +7952,34 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice (s_axi_arready, s_ready_i_reg_0, m_valid_i_reg_0, + \wrap_cnt_r_reg[3] , + \wrap_second_len_r_reg[1] , Q, \axaddr_incr_reg[7] , \axaddr_incr_reg[11] , \axaddr_incr_reg[7]_0 , \axaddr_incr_reg[3] , - \wrap_cnt_r_reg[2] , D, - \wrap_cnt_r_reg[2]_0 , - \axaddr_offset_r_reg[2] , - \axaddr_offset_r_reg[3] , + \axaddr_offset_r_reg[0] , \axaddr_offset_r_reg[1] , - \wrap_second_len_r_reg[3] , - next_pending_r_reg, + \axaddr_offset_r_reg[3] , \axlen_cnt_reg[3] , + axaddr_offset_0, + next_pending_r_reg, + next_pending_r_reg_0, \wrap_boundary_axaddr_r_reg[6] , - \axaddr_offset_r_reg[0] , \m_axi_araddr[10] , \aresetn_d_reg[0] , aclk, m_valid_i0, \aresetn_d_reg[0]_0 , \m_payload_i_reg[3]_0 , - \wrap_second_len_r_reg[2] , \state_reg[1]_rep , - axaddr_offset_0, + \wrap_second_len_r_reg[3] , + \state_reg[1] , \axaddr_offset_r_reg[3]_0 , - \state_reg[1]_rep_0 , \state_reg[0]_rep , + \state_reg[1]_rep_0 , sel_first_1, s_axi_arvalid, s_axi_arid, @@ -8098,38 +7993,38 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice output s_axi_arready; output s_ready_i_reg_0; output m_valid_i_reg_0; - output [53:0]Q; + output [3:0]\wrap_cnt_r_reg[3] ; + output \wrap_second_len_r_reg[1] ; + output [57:0]Q; output [3:0]\axaddr_incr_reg[7] ; output [3:0]\axaddr_incr_reg[11] ; output [0:0]\axaddr_incr_reg[7]_0 ; output [3:0]\axaddr_incr_reg[3] ; - output [0:0]\wrap_cnt_r_reg[2] ; - output [1:0]D; - output \wrap_cnt_r_reg[2]_0 ; - output \axaddr_offset_r_reg[2] ; - output [1:0]\axaddr_offset_r_reg[3] ; + output [2:0]D; + output \axaddr_offset_r_reg[0] ; output \axaddr_offset_r_reg[1] ; - output \wrap_second_len_r_reg[3] ; - output next_pending_r_reg; + output \axaddr_offset_r_reg[3] ; output \axlen_cnt_reg[3] ; + output [0:0]axaddr_offset_0; + output next_pending_r_reg; + output next_pending_r_reg_0; output [6:0]\wrap_boundary_axaddr_r_reg[6] ; - output \axaddr_offset_r_reg[0] ; output \m_axi_araddr[10] ; input \aresetn_d_reg[0] ; input aclk; input m_valid_i0; input \aresetn_d_reg[0]_0 ; input [3:0]\m_payload_i_reg[3]_0 ; - input [2:0]\wrap_second_len_r_reg[2] ; input \state_reg[1]_rep ; - input [0:0]axaddr_offset_0; - input [2:0]\axaddr_offset_r_reg[3]_0 ; - input \state_reg[1]_rep_0 ; + input [3:0]\wrap_second_len_r_reg[3] ; + input [1:0]\state_reg[1] ; + input [3:0]\axaddr_offset_r_reg[3]_0 ; input \state_reg[0]_rep ; + input \state_reg[1]_rep_0 ; input sel_first_1; input s_axi_arvalid; input [11:0]s_axi_arid; - input [3:0]s_axi_arlen; + input [7:0]s_axi_arlen; input [1:0]s_axi_arburst; input [1:0]s_axi_arsize; input [2:0]s_axi_arprot; @@ -8137,8 +8032,8 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice input [3:0]\axaddr_incr_reg[3]_0 ; input [0:0]\state_reg[1]_rep_1 ; - wire [1:0]D; - wire [53:0]Q; + wire [2:0]D; + wire [57:0]Q; wire aclk; wire \aresetn_d_reg[0] ; wire \aresetn_d_reg[0]_0 ; @@ -8177,15 +8072,16 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice wire \axaddr_incr_reg[8]_i_6__0_n_2 ; wire \axaddr_incr_reg[8]_i_6__0_n_3 ; wire [0:0]axaddr_offset_0; - wire \axaddr_offset_r[1]_i_3__0_n_0 ; - wire \axaddr_offset_r[2]_i_2__0_n_0 ; + wire \axaddr_offset_r[0]_i_2__0_n_0 ; + wire \axaddr_offset_r[1]_i_2__0_n_0 ; + wire \axaddr_offset_r[2]_i_2_n_0 ; wire \axaddr_offset_r[2]_i_3__0_n_0 ; + wire \axaddr_offset_r[2]_i_4_n_0 ; wire \axaddr_offset_r[3]_i_2__0_n_0 ; wire \axaddr_offset_r_reg[0] ; wire \axaddr_offset_r_reg[1] ; - wire \axaddr_offset_r_reg[2] ; - wire [1:0]\axaddr_offset_r_reg[3] ; - wire [2:0]\axaddr_offset_r_reg[3]_0 ; + wire \axaddr_offset_r_reg[3] ; + wire [3:0]\axaddr_offset_r_reg[3]_0 ; wire \axlen_cnt_reg[3] ; wire \m_axi_araddr[10] ; wire \m_payload_i[0]_i_1__0_n_0 ; @@ -8225,10 +8121,11 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice wire \m_payload_i[45]_i_1__0_n_0 ; wire \m_payload_i[46]_i_1__1_n_0 ; wire \m_payload_i[47]_i_1__0_n_0 ; + wire \m_payload_i[48]_i_1__0_n_0 ; + wire \m_payload_i[49]_i_1__0_n_0 ; wire \m_payload_i[4]_i_1__0_n_0 ; wire \m_payload_i[50]_i_1__0_n_0 ; wire \m_payload_i[51]_i_1__0_n_0 ; - wire \m_payload_i[52]_i_1__0_n_0 ; wire \m_payload_i[53]_i_1__0_n_0 ; wire \m_payload_i[54]_i_1__0_n_0 ; wire \m_payload_i[55]_i_1__0_n_0 ; @@ -8239,6 +8136,9 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice wire \m_payload_i[5]_i_1__0_n_0 ; wire \m_payload_i[60]_i_1__0_n_0 ; wire \m_payload_i[61]_i_1__0_n_0 ; + wire \m_payload_i[62]_i_1__0_n_0 ; + wire \m_payload_i[63]_i_1__0_n_0 ; + wire \m_payload_i[64]_i_1__0_n_0 ; wire \m_payload_i[6]_i_1__0_n_0 ; wire \m_payload_i[7]_i_1__0_n_0 ; wire \m_payload_i[8]_i_1__0_n_0 ; @@ -8248,10 +8148,11 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice wire m_valid_i0; wire m_valid_i_reg_0; wire next_pending_r_reg; + wire next_pending_r_reg_0; wire [31:0]s_axi_araddr; wire [1:0]s_axi_arburst; wire [11:0]s_axi_arid; - wire [3:0]s_axi_arlen; + wire [7:0]s_axi_arlen; wire [2:0]s_axi_arprot; wire s_axi_arready; wire [1:0]s_axi_arsize; @@ -8296,10 +8197,11 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice wire \skid_buffer_reg_n_0_[45] ; wire \skid_buffer_reg_n_0_[46] ; wire \skid_buffer_reg_n_0_[47] ; + wire \skid_buffer_reg_n_0_[48] ; + wire \skid_buffer_reg_n_0_[49] ; wire \skid_buffer_reg_n_0_[4] ; wire \skid_buffer_reg_n_0_[50] ; wire \skid_buffer_reg_n_0_[51] ; - wire \skid_buffer_reg_n_0_[52] ; wire \skid_buffer_reg_n_0_[53] ; wire \skid_buffer_reg_n_0_[54] ; wire \skid_buffer_reg_n_0_[55] ; @@ -8310,20 +8212,30 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice wire \skid_buffer_reg_n_0_[5] ; wire \skid_buffer_reg_n_0_[60] ; wire \skid_buffer_reg_n_0_[61] ; + wire \skid_buffer_reg_n_0_[62] ; + wire \skid_buffer_reg_n_0_[63] ; + wire \skid_buffer_reg_n_0_[64] ; wire \skid_buffer_reg_n_0_[6] ; wire \skid_buffer_reg_n_0_[7] ; wire \skid_buffer_reg_n_0_[8] ; wire \skid_buffer_reg_n_0_[9] ; wire \state_reg[0]_rep ; + wire [1:0]\state_reg[1] ; wire \state_reg[1]_rep ; wire \state_reg[1]_rep_0 ; wire [0:0]\state_reg[1]_rep_1 ; wire \wrap_boundary_axaddr_r[3]_i_2__0_n_0 ; wire [6:0]\wrap_boundary_axaddr_r_reg[6] ; - wire [0:0]\wrap_cnt_r_reg[2] ; - wire \wrap_cnt_r_reg[2]_0 ; - wire [2:0]\wrap_second_len_r_reg[2] ; - wire \wrap_second_len_r_reg[3] ; + wire \wrap_cnt_r[3]_i_2__0_n_0 ; + wire \wrap_cnt_r[3]_i_3_n_0 ; + wire [3:0]\wrap_cnt_r_reg[3] ; + wire \wrap_second_len_r[0]_i_2__0_n_0 ; + wire \wrap_second_len_r[0]_i_3_n_0 ; + wire \wrap_second_len_r[0]_i_4_n_0 ; + wire \wrap_second_len_r[0]_i_5_n_0 ; + wire \wrap_second_len_r[3]_i_2__0_n_0 ; + wire \wrap_second_len_r_reg[1] ; + wire [3:0]\wrap_second_len_r_reg[3] ; wire [3:3]\NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED ; FDRE #( @@ -8446,6 +8358,16 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .DI({1'b0,1'b0,1'b0,1'b0}), .O(\axaddr_incr_reg[11] ), .S(Q[11:8])); + LUT6 #( + .INIT(64'hFFFFF8FF00000800)) + \axaddr_offset_r[0]_i_1__0 + (.I0(Q[38]), + .I1(\axaddr_offset_r[0]_i_2__0_n_0 ), + .I2(\state_reg[1] [1]), + .I3(s_ready_i_reg_0), + .I4(\state_reg[1] [0]), + .I5(\axaddr_offset_r_reg[3]_0 [0]), + .O(\axaddr_offset_r_reg[0] )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axaddr_offset_r[0]_i_2__0 @@ -8455,65 +8377,68 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I3(Q[2]), .I4(Q[36]), .I5(Q[0]), - .O(\axaddr_offset_r_reg[0] )); - LUT1 #( - .INIT(2'h1)) - \axaddr_offset_r[1]_i_1__0 - (.I0(\axaddr_offset_r_reg[1] ), - .O(\axaddr_offset_r_reg[3] [0])); + .O(\axaddr_offset_r[0]_i_2__0_n_0 )); LUT6 #( - .INIT(64'h1FDF00001FDFFFFF)) - \axaddr_offset_r[1]_i_2__0 - (.I0(\axaddr_offset_r[1]_i_3__0_n_0 ), - .I1(Q[35]), - .I2(Q[39]), - .I3(\axaddr_offset_r[2]_i_3__0_n_0 ), - .I4(\state_reg[1]_rep ), - .I5(\axaddr_offset_r_reg[3]_0 [0]), + .INIT(64'hFFFFF8FF00000800)) + \axaddr_offset_r[1]_i_1__0 + (.I0(Q[39]), + .I1(\axaddr_offset_r[1]_i_2__0_n_0 ), + .I2(\state_reg[1] [1]), + .I3(s_ready_i_reg_0), + .I4(\state_reg[1] [0]), + .I5(\axaddr_offset_r_reg[3]_0 [1]), .O(\axaddr_offset_r_reg[1] )); - (* SOFT_HLUTNM = "soft_lutpair13" *) - LUT3 #( - .INIT(8'hB8)) - \axaddr_offset_r[1]_i_3__0 - (.I0(Q[3]), - .I1(Q[36]), - .I2(Q[1]), - .O(\axaddr_offset_r[1]_i_3__0_n_0 )); LUT6 #( - .INIT(64'hAC00FFFFAC000000)) + .INIT(64'hAFA0CFCFAFA0C0C0)) + \axaddr_offset_r[1]_i_2__0 + (.I0(Q[4]), + .I1(Q[2]), + .I2(Q[35]), + .I3(Q[3]), + .I4(Q[36]), + .I5(Q[1]), + .O(\axaddr_offset_r[1]_i_2__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT1 #( + .INIT(2'h1)) \axaddr_offset_r[2]_i_1__0 - (.I0(\axaddr_offset_r[2]_i_2__0_n_0 ), + (.I0(\axaddr_offset_r[2]_i_2_n_0 ), + .O(axaddr_offset_0)); + LUT6 #( + .INIT(64'h03FFF3FF55555555)) + \axaddr_offset_r[2]_i_2 + (.I0(\axaddr_offset_r_reg[3]_0 [2]), .I1(\axaddr_offset_r[2]_i_3__0_n_0 ), .I2(Q[35]), .I3(Q[40]), - .I4(\state_reg[1]_rep ), - .I5(\axaddr_offset_r_reg[3]_0 [1]), - .O(\axaddr_offset_r_reg[2] )); - (* SOFT_HLUTNM = "soft_lutpair13" *) + .I4(\axaddr_offset_r[2]_i_4_n_0 ), + .I5(\state_reg[1]_rep ), + .O(\axaddr_offset_r[2]_i_2_n_0 )); LUT3 #( .INIT(8'hB8)) - \axaddr_offset_r[2]_i_2__0 - (.I0(Q[5]), + \axaddr_offset_r[2]_i_3__0 + (.I0(Q[4]), .I1(Q[36]), - .I2(Q[3]), - .O(\axaddr_offset_r[2]_i_2__0_n_0 )); + .I2(Q[2]), + .O(\axaddr_offset_r[2]_i_3__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair14" *) LUT3 #( .INIT(8'hB8)) - \axaddr_offset_r[2]_i_3__0 - (.I0(Q[4]), + \axaddr_offset_r[2]_i_4 + (.I0(Q[5]), .I1(Q[36]), - .I2(Q[2]), - .O(\axaddr_offset_r[2]_i_3__0_n_0 )); + .I2(Q[3]), + .O(\axaddr_offset_r[2]_i_4_n_0 )); LUT6 #( .INIT(64'hFFFFF8FF00000800)) \axaddr_offset_r[3]_i_1__0 (.I0(Q[41]), .I1(\axaddr_offset_r[3]_i_2__0_n_0 ), - .I2(\state_reg[1]_rep_0 ), + .I2(\state_reg[1] [1]), .I3(s_ready_i_reg_0), - .I4(\state_reg[0]_rep ), - .I5(\axaddr_offset_r_reg[3]_0 [2]), - .O(\axaddr_offset_r_reg[3] [1])); + .I4(\state_reg[1] [0]), + .I5(\axaddr_offset_r_reg[3]_0 [3]), + .O(\axaddr_offset_r_reg[3] )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axaddr_offset_r[3]_i_2__0 @@ -8526,7 +8451,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .O(\axaddr_offset_r[3]_i_2__0_n_0 )); LUT4 #( .INIT(16'hFFDF)) - \axlen_cnt[3]_i_4 + \axlen_cnt[3]_i_2__0 (.I0(Q[41]), .I1(\state_reg[0]_rep ), .I2(s_ready_i_reg_0), @@ -8538,6 +8463,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice (.I0(\m_payload_i_reg_n_0_[38] ), .I1(sel_first_1), .O(\m_axi_araddr[10] )); + (* SOFT_HLUTNM = "soft_lutpair15" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[0]_i_1__0 @@ -8545,7 +8471,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[0] ), .O(\m_payload_i[0]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair36" *) + (* SOFT_HLUTNM = "soft_lutpair40" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[10]_i_1__0 @@ -8553,7 +8479,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[10] ), .O(\m_payload_i[10]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair35" *) + (* SOFT_HLUTNM = "soft_lutpair39" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[11]_i_1__0 @@ -8561,7 +8487,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[11] ), .O(\m_payload_i[11]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair33" *) + (* SOFT_HLUTNM = "soft_lutpair39" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[12]_i_1__0 @@ -8569,7 +8495,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[12] ), .O(\m_payload_i[12]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair35" *) + (* SOFT_HLUTNM = "soft_lutpair38" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[13]_i_1__1 @@ -8577,7 +8503,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[13] ), .O(\m_payload_i[13]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair34" *) + (* SOFT_HLUTNM = "soft_lutpair38" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[14]_i_1__0 @@ -8585,7 +8511,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[14] ), .O(\m_payload_i[14]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair34" *) + (* SOFT_HLUTNM = "soft_lutpair37" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[15]_i_1__0 @@ -8593,7 +8519,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[15] ), .O(\m_payload_i[15]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair33" *) + (* SOFT_HLUTNM = "soft_lutpair37" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[16]_i_1__0 @@ -8601,7 +8527,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[16] ), .O(\m_payload_i[16]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair32" *) + (* SOFT_HLUTNM = "soft_lutpair36" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[17]_i_1__0 @@ -8609,7 +8535,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[17] ), .O(\m_payload_i[17]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair29" *) + (* SOFT_HLUTNM = "soft_lutpair36" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[18]_i_1__0 @@ -8617,7 +8543,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[18] ), .O(\m_payload_i[18]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair32" *) + (* SOFT_HLUTNM = "soft_lutpair35" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[19]_i_1__0 @@ -8625,7 +8551,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[19] ), .O(\m_payload_i[19]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair40" *) + (* SOFT_HLUTNM = "soft_lutpair22" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[1]_i_1__0 @@ -8633,7 +8559,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[1] ), .O(\m_payload_i[1]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair31" *) + (* SOFT_HLUTNM = "soft_lutpair35" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[20]_i_1__0 @@ -8641,7 +8567,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[20] ), .O(\m_payload_i[20]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair31" *) + (* SOFT_HLUTNM = "soft_lutpair34" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[21]_i_1__0 @@ -8649,7 +8575,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[21] ), .O(\m_payload_i[21]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair30" *) + (* SOFT_HLUTNM = "soft_lutpair34" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[22]_i_1__0 @@ -8657,7 +8583,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[22] ), .O(\m_payload_i[22]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair30" *) + (* SOFT_HLUTNM = "soft_lutpair33" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[23]_i_1__0 @@ -8665,7 +8591,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[23] ), .O(\m_payload_i[23]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair29" *) + (* SOFT_HLUTNM = "soft_lutpair33" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[24]_i_1__0 @@ -8673,7 +8599,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[24] ), .O(\m_payload_i[24]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair28" *) + (* SOFT_HLUTNM = "soft_lutpair32" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[25]_i_1__0 @@ -8681,7 +8607,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[25] ), .O(\m_payload_i[25]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair22" *) + (* SOFT_HLUTNM = "soft_lutpair32" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[26]_i_1__0 @@ -8689,7 +8615,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[26] ), .O(\m_payload_i[26]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair28" *) + (* SOFT_HLUTNM = "soft_lutpair31" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[27]_i_1__0 @@ -8697,7 +8623,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[27] ), .O(\m_payload_i[27]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair27" *) + (* SOFT_HLUTNM = "soft_lutpair31" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[28]_i_1__0 @@ -8705,7 +8631,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[28] ), .O(\m_payload_i[28]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair27" *) + (* SOFT_HLUTNM = "soft_lutpair30" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[29]_i_1__0 @@ -8713,7 +8639,6 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[29] ), .O(\m_payload_i[29]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair40" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[2]_i_1__0 @@ -8721,7 +8646,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[2] ), .O(\m_payload_i[2]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair26" *) + (* SOFT_HLUTNM = "soft_lutpair30" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[30]_i_1__0 @@ -8729,7 +8654,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[30] ), .O(\m_payload_i[30]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair26" *) + (* SOFT_HLUTNM = "soft_lutpair29" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[31]_i_2__0 @@ -8737,7 +8662,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[31] ), .O(\m_payload_i[31]_i_2__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair25" *) + (* SOFT_HLUTNM = "soft_lutpair29" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[32]_i_1__0 @@ -8745,7 +8670,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[32] ), .O(\m_payload_i[32]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair25" *) + (* SOFT_HLUTNM = "soft_lutpair28" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[33]_i_1__0 @@ -8753,7 +8678,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[33] ), .O(\m_payload_i[33]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair24" *) + (* SOFT_HLUTNM = "soft_lutpair28" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[34]_i_1__0 @@ -8761,7 +8686,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[34] ), .O(\m_payload_i[34]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair24" *) + (* SOFT_HLUTNM = "soft_lutpair27" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[35]_i_1__0 @@ -8769,7 +8694,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[35] ), .O(\m_payload_i[35]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair23" *) + (* SOFT_HLUTNM = "soft_lutpair27" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[36]_i_1__0 @@ -8777,7 +8702,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[36] ), .O(\m_payload_i[36]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair23" *) + (* SOFT_HLUTNM = "soft_lutpair26" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[38]_i_1__0 @@ -8785,7 +8710,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[38] ), .O(\m_payload_i[38]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair22" *) + (* SOFT_HLUTNM = "soft_lutpair26" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[39]_i_1__0 @@ -8793,7 +8718,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[39] ), .O(\m_payload_i[39]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair39" *) + (* SOFT_HLUTNM = "soft_lutpair43" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[3]_i_1__0 @@ -8801,7 +8726,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[3] ), .O(\m_payload_i[3]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair14" *) + (* SOFT_HLUTNM = "soft_lutpair25" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[44]_i_1__0 @@ -8809,7 +8734,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[44] ), .O(\m_payload_i[44]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair21" *) + (* SOFT_HLUTNM = "soft_lutpair25" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[45]_i_1__0 @@ -8817,7 +8742,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[45] ), .O(\m_payload_i[45]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair21" *) + (* SOFT_HLUTNM = "soft_lutpair24" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[46]_i_1__1 @@ -8825,7 +8750,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[46] ), .O(\m_payload_i[46]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair20" *) + (* SOFT_HLUTNM = "soft_lutpair24" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[47]_i_1__0 @@ -8833,7 +8758,23 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[47] ), .O(\m_payload_i[47]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair39" *) + (* SOFT_HLUTNM = "soft_lutpair23" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[48]_i_1__0 + (.I0(s_axi_arlen[4]), + .I1(s_axi_arready), + .I2(\skid_buffer_reg_n_0_[48] ), + .O(\m_payload_i[48]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair23" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[49]_i_1__0 + (.I0(s_axi_arlen[5]), + .I1(s_axi_arready), + .I2(\skid_buffer_reg_n_0_[49] ), + .O(\m_payload_i[49]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair43" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[4]_i_1__0 @@ -8841,87 +8782,79 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[4] ), .O(\m_payload_i[4]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair20" *) + (* SOFT_HLUTNM = "soft_lutpair22" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[50]_i_1__0 - (.I0(s_axi_arid[0]), + (.I0(s_axi_arlen[6]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[50] ), .O(\m_payload_i[50]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair19" *) + (* SOFT_HLUTNM = "soft_lutpair21" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[51]_i_1__0 - (.I0(s_axi_arid[1]), + (.I0(s_axi_arlen[7]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[51] ), .O(\m_payload_i[51]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair19" *) - LUT3 #( - .INIT(8'hB8)) - \m_payload_i[52]_i_1__0 - (.I0(s_axi_arid[2]), - .I1(s_axi_arready), - .I2(\skid_buffer_reg_n_0_[52] ), - .O(\m_payload_i[52]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair18" *) + (* SOFT_HLUTNM = "soft_lutpair16" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[53]_i_1__0 - (.I0(s_axi_arid[3]), + (.I0(s_axi_arid[0]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[53] ), .O(\m_payload_i[53]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair18" *) + (* SOFT_HLUTNM = "soft_lutpair21" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[54]_i_1__0 - (.I0(s_axi_arid[4]), + (.I0(s_axi_arid[1]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[54] ), .O(\m_payload_i[54]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair17" *) + (* SOFT_HLUTNM = "soft_lutpair20" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[55]_i_1__0 - (.I0(s_axi_arid[5]), + (.I0(s_axi_arid[2]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[55] ), .O(\m_payload_i[55]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair17" *) + (* SOFT_HLUTNM = "soft_lutpair20" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[56]_i_1__0 - (.I0(s_axi_arid[6]), + (.I0(s_axi_arid[3]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[56] ), .O(\m_payload_i[56]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair16" *) + (* SOFT_HLUTNM = "soft_lutpair19" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[57]_i_1__0 - (.I0(s_axi_arid[7]), + (.I0(s_axi_arid[4]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[57] ), .O(\m_payload_i[57]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair16" *) + (* SOFT_HLUTNM = "soft_lutpair19" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[58]_i_1__0 - (.I0(s_axi_arid[8]), + (.I0(s_axi_arid[5]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[58] ), .O(\m_payload_i[58]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair15" *) + (* SOFT_HLUTNM = "soft_lutpair18" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[59]_i_1__0 - (.I0(s_axi_arid[9]), + (.I0(s_axi_arid[6]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[59] ), .O(\m_payload_i[59]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair38" *) + (* SOFT_HLUTNM = "soft_lutpair42" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[5]_i_1__0 @@ -8929,23 +8862,47 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[5] ), .O(\m_payload_i[5]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair15" *) + (* SOFT_HLUTNM = "soft_lutpair18" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[60]_i_1__0 - (.I0(s_axi_arid[10]), + (.I0(s_axi_arid[7]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[60] ), .O(\m_payload_i[60]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair14" *) + (* SOFT_HLUTNM = "soft_lutpair17" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[61]_i_1__0 - (.I0(s_axi_arid[11]), + (.I0(s_axi_arid[8]), .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[61] ), .O(\m_payload_i[61]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair38" *) + (* SOFT_HLUTNM = "soft_lutpair17" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[62]_i_1__0 + (.I0(s_axi_arid[9]), + .I1(s_axi_arready), + .I2(\skid_buffer_reg_n_0_[62] ), + .O(\m_payload_i[62]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair16" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[63]_i_1__0 + (.I0(s_axi_arid[10]), + .I1(s_axi_arready), + .I2(\skid_buffer_reg_n_0_[63] ), + .O(\m_payload_i[63]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair15" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[64]_i_1__0 + (.I0(s_axi_arid[11]), + .I1(s_axi_arready), + .I2(\skid_buffer_reg_n_0_[64] ), + .O(\m_payload_i[64]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair42" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[6]_i_1__0 @@ -8953,7 +8910,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[6] ), .O(\m_payload_i[6]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair37" *) + (* SOFT_HLUTNM = "soft_lutpair41" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[7]_i_1__0 @@ -8961,7 +8918,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[7] ), .O(\m_payload_i[7]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair36" *) + (* SOFT_HLUTNM = "soft_lutpair41" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[8]_i_1__0 @@ -8969,7 +8926,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I1(s_axi_arready), .I2(\skid_buffer_reg_n_0_[8] ), .O(\m_payload_i[8]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair37" *) + (* SOFT_HLUTNM = "soft_lutpair40" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[9]_i_1__0 @@ -9199,6 +9156,18 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .D(\m_payload_i[47]_i_1__0_n_0 ), .Q(Q[41]), .R(1'b0)); + FDRE \m_payload_i_reg[48] + (.C(aclk), + .CE(\state_reg[1]_rep_1 ), + .D(\m_payload_i[48]_i_1__0_n_0 ), + .Q(Q[42]), + .R(1'b0)); + FDRE \m_payload_i_reg[49] + (.C(aclk), + .CE(\state_reg[1]_rep_1 ), + .D(\m_payload_i[49]_i_1__0_n_0 ), + .Q(Q[43]), + .R(1'b0)); FDRE \m_payload_i_reg[4] (.C(aclk), .CE(\state_reg[1]_rep_1 ), @@ -9209,61 +9178,55 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[50]_i_1__0_n_0 ), - .Q(Q[42]), + .Q(Q[44]), .R(1'b0)); FDRE \m_payload_i_reg[51] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[51]_i_1__0_n_0 ), - .Q(Q[43]), - .R(1'b0)); - FDRE \m_payload_i_reg[52] - (.C(aclk), - .CE(\state_reg[1]_rep_1 ), - .D(\m_payload_i[52]_i_1__0_n_0 ), - .Q(Q[44]), + .Q(Q[45]), .R(1'b0)); FDRE \m_payload_i_reg[53] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[53]_i_1__0_n_0 ), - .Q(Q[45]), + .Q(Q[46]), .R(1'b0)); FDRE \m_payload_i_reg[54] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[54]_i_1__0_n_0 ), - .Q(Q[46]), + .Q(Q[47]), .R(1'b0)); FDRE \m_payload_i_reg[55] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[55]_i_1__0_n_0 ), - .Q(Q[47]), + .Q(Q[48]), .R(1'b0)); FDRE \m_payload_i_reg[56] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[56]_i_1__0_n_0 ), - .Q(Q[48]), + .Q(Q[49]), .R(1'b0)); FDRE \m_payload_i_reg[57] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[57]_i_1__0_n_0 ), - .Q(Q[49]), + .Q(Q[50]), .R(1'b0)); FDRE \m_payload_i_reg[58] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[58]_i_1__0_n_0 ), - .Q(Q[50]), + .Q(Q[51]), .R(1'b0)); FDRE \m_payload_i_reg[59] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[59]_i_1__0_n_0 ), - .Q(Q[51]), + .Q(Q[52]), .R(1'b0)); FDRE \m_payload_i_reg[5] (.C(aclk), @@ -9275,13 +9238,31 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[60]_i_1__0_n_0 ), - .Q(Q[52]), + .Q(Q[53]), .R(1'b0)); FDRE \m_payload_i_reg[61] (.C(aclk), .CE(\state_reg[1]_rep_1 ), .D(\m_payload_i[61]_i_1__0_n_0 ), - .Q(Q[53]), + .Q(Q[54]), + .R(1'b0)); + FDRE \m_payload_i_reg[62] + (.C(aclk), + .CE(\state_reg[1]_rep_1 ), + .D(\m_payload_i[62]_i_1__0_n_0 ), + .Q(Q[55]), + .R(1'b0)); + FDRE \m_payload_i_reg[63] + (.C(aclk), + .CE(\state_reg[1]_rep_1 ), + .D(\m_payload_i[63]_i_1__0_n_0 ), + .Q(Q[56]), + .R(1'b0)); + FDRE \m_payload_i_reg[64] + (.C(aclk), + .CE(\state_reg[1]_rep_1 ), + .D(\m_payload_i[64]_i_1__0_n_0 ), + .Q(Q[57]), .R(1'b0)); FDRE \m_payload_i_reg[6] (.C(aclk), @@ -9313,14 +9294,22 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .D(m_valid_i0), .Q(s_ready_i_reg_0), .R(m_valid_i_reg_0)); - LUT5 #( - .INIT(32'hAAAAAAA8)) - next_pending_r_i_3__0 - (.I0(\state_reg[1]_rep ), - .I1(Q[38]), + LUT4 #( + .INIT(16'h0001)) + next_pending_r_i_2__1 + (.I0(Q[40]), + .I1(Q[39]), .I2(Q[41]), - .I3(Q[39]), - .I4(Q[40]), + .I3(Q[38]), + .O(next_pending_r_reg_0)); + LUT5 #( + .INIT(32'h00000002)) + next_pending_r_i_3__2 + (.I0(next_pending_r_reg_0), + .I1(Q[42]), + .I2(Q[45]), + .I3(Q[43]), + .I4(Q[44]), .O(next_pending_r_reg)); LUT5 #( .INIT(32'hF444FFFF)) @@ -9559,6 +9548,18 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .D(s_axi_arlen[3]), .Q(\skid_buffer_reg_n_0_[47] ), .R(1'b0)); + FDRE \skid_buffer_reg[48] + (.C(aclk), + .CE(s_axi_arready), + .D(s_axi_arlen[4]), + .Q(\skid_buffer_reg_n_0_[48] ), + .R(1'b0)); + FDRE \skid_buffer_reg[49] + (.C(aclk), + .CE(s_axi_arready), + .D(s_axi_arlen[5]), + .Q(\skid_buffer_reg_n_0_[49] ), + .R(1'b0)); FDRE \skid_buffer_reg[4] (.C(aclk), .CE(s_axi_arready), @@ -9568,80 +9569,92 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice FDRE \skid_buffer_reg[50] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[0]), + .D(s_axi_arlen[6]), .Q(\skid_buffer_reg_n_0_[50] ), .R(1'b0)); FDRE \skid_buffer_reg[51] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[1]), + .D(s_axi_arlen[7]), .Q(\skid_buffer_reg_n_0_[51] ), .R(1'b0)); - FDRE \skid_buffer_reg[52] - (.C(aclk), - .CE(s_axi_arready), - .D(s_axi_arid[2]), - .Q(\skid_buffer_reg_n_0_[52] ), - .R(1'b0)); FDRE \skid_buffer_reg[53] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[3]), + .D(s_axi_arid[0]), .Q(\skid_buffer_reg_n_0_[53] ), .R(1'b0)); FDRE \skid_buffer_reg[54] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[4]), + .D(s_axi_arid[1]), .Q(\skid_buffer_reg_n_0_[54] ), .R(1'b0)); FDRE \skid_buffer_reg[55] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[5]), + .D(s_axi_arid[2]), .Q(\skid_buffer_reg_n_0_[55] ), .R(1'b0)); FDRE \skid_buffer_reg[56] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[6]), + .D(s_axi_arid[3]), .Q(\skid_buffer_reg_n_0_[56] ), .R(1'b0)); FDRE \skid_buffer_reg[57] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[7]), + .D(s_axi_arid[4]), .Q(\skid_buffer_reg_n_0_[57] ), .R(1'b0)); FDRE \skid_buffer_reg[58] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[8]), + .D(s_axi_arid[5]), .Q(\skid_buffer_reg_n_0_[58] ), .R(1'b0)); FDRE \skid_buffer_reg[59] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_arid[9]), + .D(s_axi_arid[6]), .Q(\skid_buffer_reg_n_0_[59] ), .R(1'b0)); - FDRE \skid_buffer_reg[5] + FDRE \skid_buffer_reg[5] + (.C(aclk), + .CE(s_axi_arready), + .D(s_axi_araddr[5]), + .Q(\skid_buffer_reg_n_0_[5] ), + .R(1'b0)); + FDRE \skid_buffer_reg[60] + (.C(aclk), + .CE(s_axi_arready), + .D(s_axi_arid[7]), + .Q(\skid_buffer_reg_n_0_[60] ), + .R(1'b0)); + FDRE \skid_buffer_reg[61] + (.C(aclk), + .CE(s_axi_arready), + .D(s_axi_arid[8]), + .Q(\skid_buffer_reg_n_0_[61] ), + .R(1'b0)); + FDRE \skid_buffer_reg[62] (.C(aclk), .CE(s_axi_arready), - .D(s_axi_araddr[5]), - .Q(\skid_buffer_reg_n_0_[5] ), + .D(s_axi_arid[9]), + .Q(\skid_buffer_reg_n_0_[62] ), .R(1'b0)); - FDRE \skid_buffer_reg[60] + FDRE \skid_buffer_reg[63] (.C(aclk), .CE(s_axi_arready), .D(s_axi_arid[10]), - .Q(\skid_buffer_reg_n_0_[60] ), + .Q(\skid_buffer_reg_n_0_[63] ), .R(1'b0)); - FDRE \skid_buffer_reg[61] + FDRE \skid_buffer_reg[64] (.C(aclk), .CE(s_axi_arready), .D(s_axi_arid[11]), - .Q(\skid_buffer_reg_n_0_[61] ), + .Q(\skid_buffer_reg_n_0_[64] ), .R(1'b0)); FDRE \skid_buffer_reg[6] (.C(aclk), @@ -9685,12 +9698,12 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I4(Q[39]), .O(\wrap_boundary_axaddr_r_reg[6] [1])); LUT6 #( - .INIT(64'h8888082AAAAA082A)) + .INIT(64'hA0A002A2AAAA02A2)) \wrap_boundary_axaddr_r[2]_i_1__0 (.I0(Q[2]), - .I1(Q[35]), - .I2(Q[39]), - .I3(Q[40]), + .I1(Q[40]), + .I2(Q[35]), + .I3(Q[39]), .I4(Q[36]), .I5(Q[38]), .O(\wrap_boundary_axaddr_r_reg[6] [2])); @@ -9713,14 +9726,14 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I2(Q[41]), .O(\wrap_boundary_axaddr_r[3]_i_2__0_n_0 )); LUT6 #( - .INIT(64'h002AA02A0A2AAA2A)) + .INIT(64'h002A0A2AA02AAA2A)) \wrap_boundary_axaddr_r[4]_i_1__0 (.I0(Q[4]), .I1(Q[41]), .I2(Q[35]), .I3(Q[36]), - .I4(Q[39]), - .I5(Q[40]), + .I4(Q[40]), + .I5(Q[39]), .O(\wrap_boundary_axaddr_r_reg[6] [4])); (* SOFT_HLUTNM = "soft_lutpair12" *) LUT5 #( @@ -9741,55 +9754,144 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice .I3(Q[41]), .O(\wrap_boundary_axaddr_r_reg[6] [6])); LUT6 #( - .INIT(64'hA656AAAAAAAAAAAA)) + .INIT(64'h55555855AAAAA8AA)) + \wrap_cnt_r[0]_i_1 + (.I0(\wrap_second_len_r[0]_i_2__0_n_0 ), + .I1(\wrap_second_len_r[0]_i_3_n_0 ), + .I2(\state_reg[1] [1]), + .I3(s_ready_i_reg_0), + .I4(\state_reg[1] [0]), + .I5(\wrap_second_len_r_reg[3] [0]), + .O(\wrap_cnt_r_reg[3] [0])); + LUT2 #( + .INIT(4'h9)) + \wrap_cnt_r[1]_i_1__0 + (.I0(\wrap_second_len_r_reg[1] ), + .I1(\wrap_cnt_r[3]_i_2__0_n_0 ), + .O(\wrap_cnt_r_reg[3] [1])); + (* SOFT_HLUTNM = "soft_lutpair13" *) + LUT3 #( + .INIT(8'h9A)) \wrap_cnt_r[2]_i_1__0 (.I0(D[1]), - .I1(\wrap_second_len_r_reg[2] [0]), - .I2(\state_reg[1]_rep ), - .I3(axaddr_offset_0), - .I4(\wrap_cnt_r_reg[2]_0 ), - .I5(D[0]), - .O(\wrap_cnt_r_reg[2] )); + .I1(\wrap_cnt_r[3]_i_2__0_n_0 ), + .I2(\wrap_second_len_r_reg[1] ), + .O(\wrap_cnt_r_reg[3] [2])); + (* SOFT_HLUTNM = "soft_lutpair13" *) + LUT4 #( + .INIT(16'hA6AA)) + \wrap_cnt_r[3]_i_1__0 + (.I0(D[2]), + .I1(\wrap_second_len_r_reg[1] ), + .I2(\wrap_cnt_r[3]_i_2__0_n_0 ), + .I3(D[1]), + .O(\wrap_cnt_r_reg[3] [3])); + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT5 #( + .INIT(32'hAAAAABAA)) + \wrap_cnt_r[3]_i_2__0 + (.I0(\wrap_cnt_r[3]_i_3_n_0 ), + .I1(\axaddr_offset_r_reg[0] ), + .I2(\axaddr_offset_r_reg[1] ), + .I3(\axaddr_offset_r[2]_i_2_n_0 ), + .I4(\axaddr_offset_r_reg[3] ), + .O(\wrap_cnt_r[3]_i_2__0_n_0 )); LUT6 #( - .INIT(64'hFFFFFFBAFFFFFFFF)) + .INIT(64'h00000800FFFFF8FF)) + \wrap_cnt_r[3]_i_3 + (.I0(\axaddr_offset_r[0]_i_2__0_n_0 ), + .I1(Q[38]), + .I2(\state_reg[1] [1]), + .I3(s_ready_i_reg_0), + .I4(\state_reg[1] [0]), + .I5(\wrap_second_len_r_reg[3] [0]), + .O(\wrap_cnt_r[3]_i_3_n_0 )); + LUT6 #( + .INIT(64'hCCCCC0CCCCCCCACC)) + \wrap_second_len_r[0]_i_1__0 + (.I0(\wrap_second_len_r[0]_i_2__0_n_0 ), + .I1(\wrap_second_len_r_reg[3] [0]), + .I2(\state_reg[1] [0]), + .I3(s_ready_i_reg_0), + .I4(\state_reg[1] [1]), + .I5(\wrap_second_len_r[0]_i_3_n_0 ), + .O(D[0])); + LUT6 #( + .INIT(64'hFFFFFFFFFFFFF2FF)) \wrap_second_len_r[0]_i_2__0 - (.I0(\wrap_second_len_r_reg[3] ), + (.I0(\axaddr_offset_r_reg[3]_0 [3]), .I1(\state_reg[1]_rep ), - .I2(\axaddr_offset_r_reg[3]_0 [2]), - .I3(\axaddr_offset_r_reg[2] ), - .I4(axaddr_offset_0), - .I5(\axaddr_offset_r_reg[1] ), - .O(\wrap_cnt_r_reg[2]_0 )); + .I2(\wrap_second_len_r[3]_i_2__0_n_0 ), + .I3(\axaddr_offset_r[2]_i_2_n_0 ), + .I4(\axaddr_offset_r_reg[1] ), + .I5(\axaddr_offset_r_reg[0] ), + .O(\wrap_second_len_r[0]_i_2__0_n_0 )); + LUT6 #( + .INIT(64'h00000000FFE200E2)) + \wrap_second_len_r[0]_i_3 + (.I0(Q[0]), + .I1(Q[36]), + .I2(Q[2]), + .I3(Q[35]), + .I4(\wrap_second_len_r[0]_i_4_n_0 ), + .I5(\wrap_second_len_r[0]_i_5_n_0 ), + .O(\wrap_second_len_r[0]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair14" *) + LUT3 #( + .INIT(8'hB8)) + \wrap_second_len_r[0]_i_4 + (.I0(Q[3]), + .I1(Q[36]), + .I2(Q[1]), + .O(\wrap_second_len_r[0]_i_4_n_0 )); + LUT4 #( + .INIT(16'hFFDF)) + \wrap_second_len_r[0]_i_5 + (.I0(Q[38]), + .I1(\state_reg[1] [0]), + .I2(s_ready_i_reg_0), + .I3(\state_reg[1] [1]), + .O(\wrap_second_len_r[0]_i_5_n_0 )); LUT6 #( - .INIT(64'h0EF0FFFF0EF00000)) + .INIT(64'hC3AAC0AAC3AAC3AA)) \wrap_second_len_r[1]_i_1__0 - (.I0(\axaddr_offset_r_reg[2] ), - .I1(\axaddr_offset_r_reg[3] [1]), - .I2(axaddr_offset_0), - .I3(\axaddr_offset_r_reg[1] ), - .I4(\state_reg[1]_rep ), - .I5(\wrap_second_len_r_reg[2] [1]), - .O(D[0])); + (.I0(\wrap_second_len_r_reg[3] [1]), + .I1(\axaddr_offset_r_reg[0] ), + .I2(\axaddr_offset_r_reg[1] ), + .I3(\state_reg[1]_rep ), + .I4(\axaddr_offset_r_reg[3] ), + .I5(\axaddr_offset_r[2]_i_2_n_0 ), + .O(\wrap_second_len_r_reg[1] )); LUT6 #( - .INIT(64'hD2D0FFFFD2D00000)) + .INIT(64'h02FCFFFF02FC0000)) \wrap_second_len_r[2]_i_1__0 - (.I0(\axaddr_offset_r_reg[1] ), - .I1(axaddr_offset_0), - .I2(\axaddr_offset_r_reg[2] ), - .I3(\axaddr_offset_r_reg[3] [1]), + (.I0(\axaddr_offset_r_reg[3] ), + .I1(\axaddr_offset_r_reg[1] ), + .I2(\axaddr_offset_r_reg[0] ), + .I3(\axaddr_offset_r[2]_i_2_n_0 ), .I4(\state_reg[1]_rep ), - .I5(\wrap_second_len_r_reg[2] [2]), + .I5(\wrap_second_len_r_reg[3] [2]), .O(D[1])); + LUT6 #( + .INIT(64'hEF00FFFFEF00EF00)) + \wrap_second_len_r[3]_i_1__0 + (.I0(\axaddr_offset_r_reg[0] ), + .I1(\axaddr_offset_r_reg[1] ), + .I2(\axaddr_offset_r[2]_i_2_n_0 ), + .I3(\wrap_second_len_r[3]_i_2__0_n_0 ), + .I4(\state_reg[1]_rep ), + .I5(\wrap_second_len_r_reg[3] [3]), + .O(D[2])); LUT6 #( .INIT(64'h00000000EEE222E2)) \wrap_second_len_r[3]_i_2__0 - (.I0(\axaddr_offset_r[2]_i_2__0_n_0 ), + (.I0(\axaddr_offset_r[2]_i_4_n_0 ), .I1(Q[35]), .I2(Q[4]), .I3(Q[36]), .I4(Q[6]), .I5(\axlen_cnt_reg[3] ), - .O(\wrap_second_len_r_reg[3] )); + .O(\wrap_second_len_r[3]_i_2__0_n_0 )); endmodule (* ORIG_REF_NAME = "axi_register_slice_v2_1_9_axic_register_slice" *) @@ -9801,11 +9903,13 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 \axaddr_incr_reg[11] , CO, O, + \wrap_cnt_r_reg[1] , + \wrap_second_len_r_reg[3] , axaddr_offset, \axaddr_offset_r_reg[1] , - \wrap_second_len_r_reg[3] , \axlen_cnt_reg[3] , next_pending_r_reg, + next_pending_r_reg_0, \wrap_boundary_axaddr_r_reg[6] , \axaddr_offset_r_reg[0] , \m_axi_awaddr[10] , @@ -9814,8 +9918,9 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 \aresetn_d_reg[1]_inv_0 , aresetn, S, - \axaddr_offset_r_reg[3] , \state_reg[1]_rep , + \axaddr_offset_r_reg[3] , + \axaddr_offset_r_reg[0]_0 , \state_reg[1]_rep_0 , \state_reg[0]_rep , s_axi_awvalid, @@ -9832,15 +9937,17 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 output s_axi_awready; output s_ready_i_reg_0; output m_valid_i_reg_0; - output [53:0]Q; + output [57:0]Q; output [7:0]\axaddr_incr_reg[11] ; output [0:0]CO; output [3:0]O; + output \wrap_cnt_r_reg[1] ; + output \wrap_second_len_r_reg[3] ; output [2:0]axaddr_offset; output \axaddr_offset_r_reg[1] ; - output \wrap_second_len_r_reg[3] ; output \axlen_cnt_reg[3] ; output next_pending_r_reg; + output next_pending_r_reg_0; output [6:0]\wrap_boundary_axaddr_r_reg[6] ; output \axaddr_offset_r_reg[0] ; output \m_axi_awaddr[10] ; @@ -9849,15 +9956,16 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 input \aresetn_d_reg[1]_inv_0 ; input aresetn; input [3:0]S; - input [2:0]\axaddr_offset_r_reg[3] ; input \state_reg[1]_rep ; + input [2:0]\axaddr_offset_r_reg[3] ; + input [0:0]\axaddr_offset_r_reg[0]_0 ; input \state_reg[1]_rep_0 ; input \state_reg[0]_rep ; input s_axi_awvalid; input b_push; input sel_first; input [11:0]s_axi_awid; - input [3:0]s_axi_awlen; + input [7:0]s_axi_awlen; input [1:0]s_axi_awburst; input [1:0]s_axi_awsize; input [2:0]s_axi_awprot; @@ -9869,7 +9977,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 wire [0:0]CO; wire [0:0]E; wire [3:0]O; - wire [53:0]Q; + wire [57:0]Q; wire [3:0]S; wire aclk; wire aresetn; @@ -9905,10 +10013,11 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 wire \axaddr_incr_reg[8]_i_6_n_3 ; wire [2:0]axaddr_offset; wire \axaddr_offset_r[1]_i_3_n_0 ; - wire \axaddr_offset_r[2]_i_2_n_0 ; + wire \axaddr_offset_r[2]_i_2__0_n_0 ; wire \axaddr_offset_r[2]_i_3_n_0 ; wire \axaddr_offset_r[3]_i_2_n_0 ; wire \axaddr_offset_r_reg[0] ; + wire [0:0]\axaddr_offset_r_reg[0]_0 ; wire \axaddr_offset_r_reg[1] ; wire [2:0]\axaddr_offset_r_reg[3] ; wire \axlen_cnt_reg[3] ; @@ -9918,10 +10027,11 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 wire m_valid_i0; wire m_valid_i_reg_0; wire next_pending_r_reg; + wire next_pending_r_reg_0; wire [31:0]s_axi_awaddr; wire [1:0]s_axi_awburst; wire [11:0]s_axi_awid; - wire [3:0]s_axi_awlen; + wire [7:0]s_axi_awlen; wire [2:0]s_axi_awprot; wire s_axi_awready; wire [1:0]s_axi_awsize; @@ -9929,7 +10039,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 wire s_ready_i0; wire s_ready_i_reg_0; wire sel_first; - wire [61:0]skid_buffer; + wire [64:0]skid_buffer; wire \skid_buffer_reg_n_0_[0] ; wire \skid_buffer_reg_n_0_[10] ; wire \skid_buffer_reg_n_0_[11] ; @@ -9967,10 +10077,11 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 wire \skid_buffer_reg_n_0_[45] ; wire \skid_buffer_reg_n_0_[46] ; wire \skid_buffer_reg_n_0_[47] ; + wire \skid_buffer_reg_n_0_[48] ; + wire \skid_buffer_reg_n_0_[49] ; wire \skid_buffer_reg_n_0_[4] ; wire \skid_buffer_reg_n_0_[50] ; wire \skid_buffer_reg_n_0_[51] ; - wire \skid_buffer_reg_n_0_[52] ; wire \skid_buffer_reg_n_0_[53] ; wire \skid_buffer_reg_n_0_[54] ; wire \skid_buffer_reg_n_0_[55] ; @@ -9981,6 +10092,9 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 wire \skid_buffer_reg_n_0_[5] ; wire \skid_buffer_reg_n_0_[60] ; wire \skid_buffer_reg_n_0_[61] ; + wire \skid_buffer_reg_n_0_[62] ; + wire \skid_buffer_reg_n_0_[63] ; + wire \skid_buffer_reg_n_0_[64] ; wire \skid_buffer_reg_n_0_[6] ; wire \skid_buffer_reg_n_0_[7] ; wire \skid_buffer_reg_n_0_[8] ; @@ -9990,6 +10104,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 wire \state_reg[1]_rep_0 ; wire \wrap_boundary_axaddr_r[3]_i_2_n_0 ; wire [6:0]\wrap_boundary_axaddr_r_reg[6] ; + wire \wrap_cnt_r_reg[1] ; wire \wrap_second_len_r_reg[3] ; wire [3:3]\NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED ; @@ -10144,7 +10259,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I4(Q[39]), .I5(\axaddr_offset_r[2]_i_3_n_0 ), .O(\axaddr_offset_r_reg[1] )); - (* SOFT_HLUTNM = "soft_lutpair42" *) + (* SOFT_HLUTNM = "soft_lutpair45" *) LUT3 #( .INIT(8'hB8)) \axaddr_offset_r[1]_i_3 @@ -10157,19 +10272,19 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 \axaddr_offset_r[2]_i_1 (.I0(\axaddr_offset_r_reg[3] [1]), .I1(\state_reg[1]_rep ), - .I2(\axaddr_offset_r[2]_i_2_n_0 ), + .I2(\axaddr_offset_r[2]_i_2__0_n_0 ), .I3(\axaddr_offset_r[2]_i_3_n_0 ), .I4(Q[35]), .I5(Q[40]), .O(axaddr_offset[1])); + (* SOFT_HLUTNM = "soft_lutpair45" *) LUT3 #( .INIT(8'hB8)) - \axaddr_offset_r[2]_i_2 + \axaddr_offset_r[2]_i_2__0 (.I0(Q[5]), .I1(Q[36]), .I2(Q[3]), - .O(\axaddr_offset_r[2]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair42" *) + .O(\axaddr_offset_r[2]_i_2__0_n_0 )); LUT3 #( .INIT(8'hB8)) \axaddr_offset_r[2]_i_3 @@ -10199,7 +10314,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .O(\axaddr_offset_r[3]_i_2_n_0 )); LUT4 #( .INIT(16'hFFDF)) - \axlen_cnt[3]_i_3 + \axlen_cnt[3]_i_2 (.I0(Q[41]), .I1(\state_reg[0]_rep ), .I2(m_valid_i_reg_0), @@ -10218,7 +10333,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[0] ), .O(skid_buffer[0])); - (* SOFT_HLUTNM = "soft_lutpair65" *) + (* SOFT_HLUTNM = "soft_lutpair70" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[10]_i_1 @@ -10226,7 +10341,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[10] ), .O(skid_buffer[10])); - (* SOFT_HLUTNM = "soft_lutpair62" *) + (* SOFT_HLUTNM = "soft_lutpair69" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[11]_i_1 @@ -10234,7 +10349,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[11] ), .O(skid_buffer[11])); - (* SOFT_HLUTNM = "soft_lutpair64" *) + (* SOFT_HLUTNM = "soft_lutpair67" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[12]_i_1 @@ -10242,7 +10357,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[12] ), .O(skid_buffer[12])); - (* SOFT_HLUTNM = "soft_lutpair64" *) + (* SOFT_HLUTNM = "soft_lutpair69" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[13]_i_1__0 @@ -10250,7 +10365,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[13] ), .O(skid_buffer[13])); - (* SOFT_HLUTNM = "soft_lutpair63" *) + (* SOFT_HLUTNM = "soft_lutpair68" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[14]_i_1 @@ -10258,7 +10373,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[14] ), .O(skid_buffer[14])); - (* SOFT_HLUTNM = "soft_lutpair63" *) + (* SOFT_HLUTNM = "soft_lutpair68" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[15]_i_1 @@ -10266,7 +10381,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[15] ), .O(skid_buffer[15])); - (* SOFT_HLUTNM = "soft_lutpair62" *) + (* SOFT_HLUTNM = "soft_lutpair67" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[16]_i_1 @@ -10274,7 +10389,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[16] ), .O(skid_buffer[16])); - (* SOFT_HLUTNM = "soft_lutpair58" *) + (* SOFT_HLUTNM = "soft_lutpair66" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[17]_i_1 @@ -10282,7 +10397,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[17] ), .O(skid_buffer[17])); - (* SOFT_HLUTNM = "soft_lutpair61" *) + (* SOFT_HLUTNM = "soft_lutpair62" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[18]_i_1 @@ -10290,7 +10405,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[18] ), .O(skid_buffer[18])); - (* SOFT_HLUTNM = "soft_lutpair61" *) + (* SOFT_HLUTNM = "soft_lutpair66" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[19]_i_1 @@ -10298,7 +10413,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[19] ), .O(skid_buffer[19])); - (* SOFT_HLUTNM = "soft_lutpair69" *) + (* SOFT_HLUTNM = "soft_lutpair74" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[1]_i_1 @@ -10306,7 +10421,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[1] ), .O(skid_buffer[1])); - (* SOFT_HLUTNM = "soft_lutpair60" *) + (* SOFT_HLUTNM = "soft_lutpair65" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[20]_i_1 @@ -10314,7 +10429,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[20] ), .O(skid_buffer[20])); - (* SOFT_HLUTNM = "soft_lutpair60" *) + (* SOFT_HLUTNM = "soft_lutpair65" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[21]_i_1 @@ -10322,7 +10437,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[21] ), .O(skid_buffer[21])); - (* SOFT_HLUTNM = "soft_lutpair59" *) + (* SOFT_HLUTNM = "soft_lutpair64" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[22]_i_1 @@ -10330,7 +10445,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[22] ), .O(skid_buffer[22])); - (* SOFT_HLUTNM = "soft_lutpair59" *) + (* SOFT_HLUTNM = "soft_lutpair64" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[23]_i_1 @@ -10338,7 +10453,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[23] ), .O(skid_buffer[23])); - (* SOFT_HLUTNM = "soft_lutpair58" *) + (* SOFT_HLUTNM = "soft_lutpair63" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[24]_i_1 @@ -10346,7 +10461,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[24] ), .O(skid_buffer[24])); - (* SOFT_HLUTNM = "soft_lutpair57" *) + (* SOFT_HLUTNM = "soft_lutpair63" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[25]_i_1 @@ -10354,7 +10469,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[25] ), .O(skid_buffer[25])); - (* SOFT_HLUTNM = "soft_lutpair52" *) + (* SOFT_HLUTNM = "soft_lutpair62" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[26]_i_1 @@ -10362,7 +10477,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[26] ), .O(skid_buffer[26])); - (* SOFT_HLUTNM = "soft_lutpair57" *) + (* SOFT_HLUTNM = "soft_lutpair61" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[27]_i_1 @@ -10378,7 +10493,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[28] ), .O(skid_buffer[28])); - (* SOFT_HLUTNM = "soft_lutpair56" *) + (* SOFT_HLUTNM = "soft_lutpair61" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[29]_i_1 @@ -10386,7 +10501,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[29] ), .O(skid_buffer[29])); - (* SOFT_HLUTNM = "soft_lutpair69" *) + (* SOFT_HLUTNM = "soft_lutpair74" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[2]_i_1 @@ -10394,7 +10509,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[2] ), .O(skid_buffer[2])); - (* SOFT_HLUTNM = "soft_lutpair55" *) + (* SOFT_HLUTNM = "soft_lutpair60" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[30]_i_1 @@ -10402,7 +10517,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[30] ), .O(skid_buffer[30])); - (* SOFT_HLUTNM = "soft_lutpair55" *) + (* SOFT_HLUTNM = "soft_lutpair60" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[31]_i_2 @@ -10410,7 +10525,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[31] ), .O(skid_buffer[31])); - (* SOFT_HLUTNM = "soft_lutpair54" *) + (* SOFT_HLUTNM = "soft_lutpair59" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[32]_i_1 @@ -10418,7 +10533,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[32] ), .O(skid_buffer[32])); - (* SOFT_HLUTNM = "soft_lutpair54" *) + (* SOFT_HLUTNM = "soft_lutpair59" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[33]_i_1 @@ -10426,7 +10541,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[33] ), .O(skid_buffer[33])); - (* SOFT_HLUTNM = "soft_lutpair53" *) + (* SOFT_HLUTNM = "soft_lutpair58" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[34]_i_1 @@ -10434,7 +10549,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[34] ), .O(skid_buffer[34])); - (* SOFT_HLUTNM = "soft_lutpair53" *) + (* SOFT_HLUTNM = "soft_lutpair58" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[35]_i_1 @@ -10442,7 +10557,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[35] ), .O(skid_buffer[35])); - (* SOFT_HLUTNM = "soft_lutpair52" *) + (* SOFT_HLUTNM = "soft_lutpair57" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[36]_i_1 @@ -10450,7 +10565,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[36] ), .O(skid_buffer[36])); - (* SOFT_HLUTNM = "soft_lutpair43" *) + (* SOFT_HLUTNM = "soft_lutpair57" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[38]_i_1 @@ -10458,7 +10573,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[38] ), .O(skid_buffer[38])); - (* SOFT_HLUTNM = "soft_lutpair51" *) + (* SOFT_HLUTNM = "soft_lutpair56" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[39]_i_1 @@ -10466,7 +10581,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[39] ), .O(skid_buffer[39])); - (* SOFT_HLUTNM = "soft_lutpair68" *) + (* SOFT_HLUTNM = "soft_lutpair73" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[3]_i_1 @@ -10474,7 +10589,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[3] ), .O(skid_buffer[3])); - (* SOFT_HLUTNM = "soft_lutpair51" *) + (* SOFT_HLUTNM = "soft_lutpair55" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[44]_i_1 @@ -10482,7 +10597,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[44] ), .O(skid_buffer[44])); - (* SOFT_HLUTNM = "soft_lutpair50" *) + (* SOFT_HLUTNM = "soft_lutpair46" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[45]_i_1 @@ -10490,7 +10605,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[45] ), .O(skid_buffer[45])); - (* SOFT_HLUTNM = "soft_lutpair50" *) + (* SOFT_HLUTNM = "soft_lutpair55" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[46]_i_1__0 @@ -10498,7 +10613,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[46] ), .O(skid_buffer[46])); - (* SOFT_HLUTNM = "soft_lutpair49" *) + (* SOFT_HLUTNM = "soft_lutpair54" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[47]_i_1 @@ -10506,7 +10621,23 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[47] ), .O(skid_buffer[47])); - (* SOFT_HLUTNM = "soft_lutpair67" *) + (* SOFT_HLUTNM = "soft_lutpair54" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[48]_i_1 + (.I0(s_axi_awlen[4]), + .I1(s_axi_awready), + .I2(\skid_buffer_reg_n_0_[48] ), + .O(skid_buffer[48])); + (* SOFT_HLUTNM = "soft_lutpair53" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[49]_i_1 + (.I0(s_axi_awlen[5]), + .I1(s_axi_awready), + .I2(\skid_buffer_reg_n_0_[49] ), + .O(skid_buffer[49])); + (* SOFT_HLUTNM = "soft_lutpair72" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[4]_i_1 @@ -10514,111 +10645,127 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[4] ), .O(skid_buffer[4])); - (* SOFT_HLUTNM = "soft_lutpair49" *) + (* SOFT_HLUTNM = "soft_lutpair53" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[50]_i_1 - (.I0(s_axi_awid[0]), + (.I0(s_axi_awlen[6]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[50] ), .O(skid_buffer[50])); - (* SOFT_HLUTNM = "soft_lutpair48" *) + (* SOFT_HLUTNM = "soft_lutpair52" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[51]_i_1 - (.I0(s_axi_awid[1]), + (.I0(s_axi_awlen[7]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[51] ), .O(skid_buffer[51])); - (* SOFT_HLUTNM = "soft_lutpair48" *) - LUT3 #( - .INIT(8'hB8)) - \m_payload_i[52]_i_1 - (.I0(s_axi_awid[2]), - .I1(s_axi_awready), - .I2(\skid_buffer_reg_n_0_[52] ), - .O(skid_buffer[52])); - (* SOFT_HLUTNM = "soft_lutpair47" *) + (* SOFT_HLUTNM = "soft_lutpair52" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[53]_i_1 - (.I0(s_axi_awid[3]), + (.I0(s_axi_awid[0]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[53] ), .O(skid_buffer[53])); - (* SOFT_HLUTNM = "soft_lutpair47" *) + (* SOFT_HLUTNM = "soft_lutpair51" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[54]_i_1 - (.I0(s_axi_awid[4]), + (.I0(s_axi_awid[1]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[54] ), .O(skid_buffer[54])); - (* SOFT_HLUTNM = "soft_lutpair46" *) + (* SOFT_HLUTNM = "soft_lutpair51" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[55]_i_1 - (.I0(s_axi_awid[5]), + (.I0(s_axi_awid[2]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[55] ), .O(skid_buffer[55])); - (* SOFT_HLUTNM = "soft_lutpair46" *) + (* SOFT_HLUTNM = "soft_lutpair50" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[56]_i_1 - (.I0(s_axi_awid[6]), + (.I0(s_axi_awid[3]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[56] ), .O(skid_buffer[56])); - (* SOFT_HLUTNM = "soft_lutpair45" *) + (* SOFT_HLUTNM = "soft_lutpair50" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[57]_i_1 - (.I0(s_axi_awid[7]), + (.I0(s_axi_awid[4]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[57] ), .O(skid_buffer[57])); - (* SOFT_HLUTNM = "soft_lutpair45" *) + (* SOFT_HLUTNM = "soft_lutpair49" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[58]_i_1 - (.I0(s_axi_awid[8]), + (.I0(s_axi_awid[5]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[58] ), .O(skid_buffer[58])); - (* SOFT_HLUTNM = "soft_lutpair44" *) + (* SOFT_HLUTNM = "soft_lutpair49" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[59]_i_1 - (.I0(s_axi_awid[9]), + (.I0(s_axi_awid[6]), .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[59] ), .O(skid_buffer[59])); - (* SOFT_HLUTNM = "soft_lutpair68" *) + (* SOFT_HLUTNM = "soft_lutpair73" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[5]_i_1 + (.I0(s_axi_awaddr[5]), + .I1(s_axi_awready), + .I2(\skid_buffer_reg_n_0_[5] ), + .O(skid_buffer[5])); + (* SOFT_HLUTNM = "soft_lutpair48" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[60]_i_1 + (.I0(s_axi_awid[7]), + .I1(s_axi_awready), + .I2(\skid_buffer_reg_n_0_[60] ), + .O(skid_buffer[60])); + (* SOFT_HLUTNM = "soft_lutpair48" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[61]_i_1 + (.I0(s_axi_awid[8]), + .I1(s_axi_awready), + .I2(\skid_buffer_reg_n_0_[61] ), + .O(skid_buffer[61])); + (* SOFT_HLUTNM = "soft_lutpair47" *) LUT3 #( .INIT(8'hB8)) - \m_payload_i[5]_i_1 - (.I0(s_axi_awaddr[5]), + \m_payload_i[62]_i_1 + (.I0(s_axi_awid[9]), .I1(s_axi_awready), - .I2(\skid_buffer_reg_n_0_[5] ), - .O(skid_buffer[5])); - (* SOFT_HLUTNM = "soft_lutpair44" *) + .I2(\skid_buffer_reg_n_0_[62] ), + .O(skid_buffer[62])); + (* SOFT_HLUTNM = "soft_lutpair47" *) LUT3 #( .INIT(8'hB8)) - \m_payload_i[60]_i_1 + \m_payload_i[63]_i_1 (.I0(s_axi_awid[10]), .I1(s_axi_awready), - .I2(\skid_buffer_reg_n_0_[60] ), - .O(skid_buffer[60])); - (* SOFT_HLUTNM = "soft_lutpair43" *) + .I2(\skid_buffer_reg_n_0_[63] ), + .O(skid_buffer[63])); + (* SOFT_HLUTNM = "soft_lutpair46" *) LUT3 #( .INIT(8'hB8)) - \m_payload_i[61]_i_1 + \m_payload_i[64]_i_1 (.I0(s_axi_awid[11]), .I1(s_axi_awready), - .I2(\skid_buffer_reg_n_0_[61] ), - .O(skid_buffer[61])); - (* SOFT_HLUTNM = "soft_lutpair67" *) + .I2(\skid_buffer_reg_n_0_[64] ), + .O(skid_buffer[64])); + (* SOFT_HLUTNM = "soft_lutpair72" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[6]_i_1 @@ -10626,7 +10773,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[6] ), .O(skid_buffer[6])); - (* SOFT_HLUTNM = "soft_lutpair65" *) + (* SOFT_HLUTNM = "soft_lutpair70" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[7]_i_1 @@ -10634,7 +10781,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[7] ), .O(skid_buffer[7])); - (* SOFT_HLUTNM = "soft_lutpair66" *) + (* SOFT_HLUTNM = "soft_lutpair71" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[8]_i_1 @@ -10642,7 +10789,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I1(s_axi_awready), .I2(\skid_buffer_reg_n_0_[8] ), .O(skid_buffer[8])); - (* SOFT_HLUTNM = "soft_lutpair66" *) + (* SOFT_HLUTNM = "soft_lutpair71" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[9]_i_1 @@ -10872,6 +11019,18 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .D(skid_buffer[47]), .Q(Q[41]), .R(1'b0)); + FDRE \m_payload_i_reg[48] + (.C(aclk), + .CE(E), + .D(skid_buffer[48]), + .Q(Q[42]), + .R(1'b0)); + FDRE \m_payload_i_reg[49] + (.C(aclk), + .CE(E), + .D(skid_buffer[49]), + .Q(Q[43]), + .R(1'b0)); FDRE \m_payload_i_reg[4] (.C(aclk), .CE(E), @@ -10882,61 +11041,55 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 (.C(aclk), .CE(E), .D(skid_buffer[50]), - .Q(Q[42]), + .Q(Q[44]), .R(1'b0)); FDRE \m_payload_i_reg[51] (.C(aclk), .CE(E), .D(skid_buffer[51]), - .Q(Q[43]), - .R(1'b0)); - FDRE \m_payload_i_reg[52] - (.C(aclk), - .CE(E), - .D(skid_buffer[52]), - .Q(Q[44]), + .Q(Q[45]), .R(1'b0)); FDRE \m_payload_i_reg[53] (.C(aclk), .CE(E), .D(skid_buffer[53]), - .Q(Q[45]), + .Q(Q[46]), .R(1'b0)); FDRE \m_payload_i_reg[54] (.C(aclk), .CE(E), .D(skid_buffer[54]), - .Q(Q[46]), + .Q(Q[47]), .R(1'b0)); FDRE \m_payload_i_reg[55] (.C(aclk), .CE(E), .D(skid_buffer[55]), - .Q(Q[47]), + .Q(Q[48]), .R(1'b0)); FDRE \m_payload_i_reg[56] (.C(aclk), .CE(E), .D(skid_buffer[56]), - .Q(Q[48]), + .Q(Q[49]), .R(1'b0)); FDRE \m_payload_i_reg[57] (.C(aclk), .CE(E), .D(skid_buffer[57]), - .Q(Q[49]), + .Q(Q[50]), .R(1'b0)); FDRE \m_payload_i_reg[58] (.C(aclk), .CE(E), .D(skid_buffer[58]), - .Q(Q[50]), + .Q(Q[51]), .R(1'b0)); FDRE \m_payload_i_reg[59] (.C(aclk), .CE(E), .D(skid_buffer[59]), - .Q(Q[51]), + .Q(Q[52]), .R(1'b0)); FDRE \m_payload_i_reg[5] (.C(aclk), @@ -10948,13 +11101,31 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 (.C(aclk), .CE(E), .D(skid_buffer[60]), - .Q(Q[52]), + .Q(Q[53]), .R(1'b0)); FDRE \m_payload_i_reg[61] (.C(aclk), .CE(E), .D(skid_buffer[61]), - .Q(Q[53]), + .Q(Q[54]), + .R(1'b0)); + FDRE \m_payload_i_reg[62] + (.C(aclk), + .CE(E), + .D(skid_buffer[62]), + .Q(Q[55]), + .R(1'b0)); + FDRE \m_payload_i_reg[63] + (.C(aclk), + .CE(E), + .D(skid_buffer[63]), + .Q(Q[56]), + .R(1'b0)); + FDRE \m_payload_i_reg[64] + (.C(aclk), + .CE(E), + .D(skid_buffer[64]), + .Q(Q[57]), .R(1'b0)); FDRE \m_payload_i_reg[6] (.C(aclk), @@ -10995,14 +11166,22 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .Q(m_valid_i_reg_0), .R(\aresetn_d_reg[1]_inv_0 )); LUT5 #( - .INIT(32'hAAAAAAA8)) - next_pending_r_i_4 - (.I0(\state_reg[1]_rep ), - .I1(Q[38]), - .I2(Q[41]), - .I3(Q[39]), - .I4(Q[40]), + .INIT(32'hFFFFFFFD)) + next_pending_r_i_2__0 + (.I0(next_pending_r_reg_0), + .I1(Q[42]), + .I2(Q[43]), + .I3(Q[44]), + .I4(Q[45]), .O(next_pending_r_reg)); + LUT4 #( + .INIT(16'h0001)) + next_pending_r_i_3__0 + (.I0(Q[40]), + .I1(Q[39]), + .I2(Q[41]), + .I3(Q[38]), + .O(next_pending_r_reg_0)); LUT1 #( .INIT(2'h1)) s_ready_i_i_1__1 @@ -11244,6 +11423,18 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .D(s_axi_awlen[3]), .Q(\skid_buffer_reg_n_0_[47] ), .R(1'b0)); + FDRE \skid_buffer_reg[48] + (.C(aclk), + .CE(s_axi_awready), + .D(s_axi_awlen[4]), + .Q(\skid_buffer_reg_n_0_[48] ), + .R(1'b0)); + FDRE \skid_buffer_reg[49] + (.C(aclk), + .CE(s_axi_awready), + .D(s_axi_awlen[5]), + .Q(\skid_buffer_reg_n_0_[49] ), + .R(1'b0)); FDRE \skid_buffer_reg[4] (.C(aclk), .CE(s_axi_awready), @@ -11253,61 +11444,55 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 FDRE \skid_buffer_reg[50] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[0]), + .D(s_axi_awlen[6]), .Q(\skid_buffer_reg_n_0_[50] ), .R(1'b0)); FDRE \skid_buffer_reg[51] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[1]), + .D(s_axi_awlen[7]), .Q(\skid_buffer_reg_n_0_[51] ), .R(1'b0)); - FDRE \skid_buffer_reg[52] - (.C(aclk), - .CE(s_axi_awready), - .D(s_axi_awid[2]), - .Q(\skid_buffer_reg_n_0_[52] ), - .R(1'b0)); FDRE \skid_buffer_reg[53] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[3]), + .D(s_axi_awid[0]), .Q(\skid_buffer_reg_n_0_[53] ), .R(1'b0)); FDRE \skid_buffer_reg[54] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[4]), + .D(s_axi_awid[1]), .Q(\skid_buffer_reg_n_0_[54] ), .R(1'b0)); FDRE \skid_buffer_reg[55] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[5]), + .D(s_axi_awid[2]), .Q(\skid_buffer_reg_n_0_[55] ), .R(1'b0)); FDRE \skid_buffer_reg[56] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[6]), + .D(s_axi_awid[3]), .Q(\skid_buffer_reg_n_0_[56] ), .R(1'b0)); FDRE \skid_buffer_reg[57] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[7]), + .D(s_axi_awid[4]), .Q(\skid_buffer_reg_n_0_[57] ), .R(1'b0)); FDRE \skid_buffer_reg[58] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[8]), + .D(s_axi_awid[5]), .Q(\skid_buffer_reg_n_0_[58] ), .R(1'b0)); FDRE \skid_buffer_reg[59] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[9]), + .D(s_axi_awid[6]), .Q(\skid_buffer_reg_n_0_[59] ), .R(1'b0)); FDRE \skid_buffer_reg[5] @@ -11319,15 +11504,33 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 FDRE \skid_buffer_reg[60] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[10]), + .D(s_axi_awid[7]), .Q(\skid_buffer_reg_n_0_[60] ), .R(1'b0)); FDRE \skid_buffer_reg[61] (.C(aclk), .CE(s_axi_awready), - .D(s_axi_awid[11]), + .D(s_axi_awid[8]), .Q(\skid_buffer_reg_n_0_[61] ), .R(1'b0)); + FDRE \skid_buffer_reg[62] + (.C(aclk), + .CE(s_axi_awready), + .D(s_axi_awid[9]), + .Q(\skid_buffer_reg_n_0_[62] ), + .R(1'b0)); + FDRE \skid_buffer_reg[63] + (.C(aclk), + .CE(s_axi_awready), + .D(s_axi_awid[10]), + .Q(\skid_buffer_reg_n_0_[63] ), + .R(1'b0)); + FDRE \skid_buffer_reg[64] + (.C(aclk), + .CE(s_axi_awready), + .D(s_axi_awid[11]), + .Q(\skid_buffer_reg_n_0_[64] ), + .R(1'b0)); FDRE \skid_buffer_reg[6] (.C(aclk), .CE(s_axi_awready), @@ -11389,7 +11592,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I4(Q[35]), .I5(Q[38]), .O(\wrap_boundary_axaddr_r_reg[6] [3])); - (* SOFT_HLUTNM = "soft_lutpair41" *) + (* SOFT_HLUTNM = "soft_lutpair44" *) LUT3 #( .INIT(8'hB8)) \wrap_boundary_axaddr_r[3]_i_2 @@ -11407,7 +11610,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I4(Q[39]), .I5(Q[40]), .O(\wrap_boundary_axaddr_r_reg[6] [4])); - (* SOFT_HLUTNM = "soft_lutpair41" *) + (* SOFT_HLUTNM = "soft_lutpair44" *) LUT5 #( .INIT(32'h2A222AAA)) \wrap_boundary_axaddr_r[5]_i_1 @@ -11425,10 +11628,20 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 .I2(Q[35]), .I3(Q[41]), .O(\wrap_boundary_axaddr_r_reg[6] [6])); + LUT6 #( + .INIT(64'hFFFFFFBAFFFFFFFF)) + \wrap_second_len_r[0]_i_2 + (.I0(\wrap_second_len_r_reg[3] ), + .I1(\state_reg[1]_rep ), + .I2(\axaddr_offset_r_reg[3] [2]), + .I3(axaddr_offset[1]), + .I4(\axaddr_offset_r_reg[0]_0 ), + .I5(\axaddr_offset_r_reg[1] ), + .O(\wrap_cnt_r_reg[1] )); LUT6 #( .INIT(64'h00000000EEE222E2)) \wrap_second_len_r[3]_i_2 - (.I0(\axaddr_offset_r[2]_i_2_n_0 ), + (.I0(\axaddr_offset_r[2]_i_2__0_n_0 ), .I1(Q[35]), .I2(Q[4]), .I3(Q[36]), @@ -11505,7 +11718,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa wire \skid_buffer_reg_n_0_[8] ; wire \skid_buffer_reg_n_0_[9] ; - (* SOFT_HLUTNM = "soft_lutpair77" *) + (* SOFT_HLUTNM = "soft_lutpair82" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[0]_i_1__1 @@ -11513,7 +11726,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[0] ), .O(\m_payload_i[0]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair72" *) + (* SOFT_HLUTNM = "soft_lutpair77" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[10]_i_1__1 @@ -11521,7 +11734,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[10] ), .O(\m_payload_i[10]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair71" *) + (* SOFT_HLUTNM = "soft_lutpair76" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[11]_i_1__1 @@ -11529,7 +11742,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[11] ), .O(\m_payload_i[11]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair72" *) + (* SOFT_HLUTNM = "soft_lutpair77" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[12]_i_1__1 @@ -11543,7 +11756,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa (.I0(s_axi_bready), .I1(s_axi_bvalid), .O(p_1_in)); - (* SOFT_HLUTNM = "soft_lutpair71" *) + (* SOFT_HLUTNM = "soft_lutpair76" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[13]_i_2 @@ -11551,7 +11764,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[13] ), .O(\m_payload_i[13]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair77" *) + (* SOFT_HLUTNM = "soft_lutpair82" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[1]_i_1__1 @@ -11559,7 +11772,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[1] ), .O(\m_payload_i[1]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair76" *) + (* SOFT_HLUTNM = "soft_lutpair81" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[2]_i_1__1 @@ -11567,7 +11780,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[2] ), .O(\m_payload_i[2]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair76" *) + (* SOFT_HLUTNM = "soft_lutpair81" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[3]_i_1__1 @@ -11575,7 +11788,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[3] ), .O(\m_payload_i[3]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair75" *) + (* SOFT_HLUTNM = "soft_lutpair80" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[4]_i_1__1 @@ -11583,7 +11796,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[4] ), .O(\m_payload_i[4]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair75" *) + (* SOFT_HLUTNM = "soft_lutpair80" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[5]_i_1__1 @@ -11591,7 +11804,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[5] ), .O(\m_payload_i[5]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair74" *) + (* SOFT_HLUTNM = "soft_lutpair79" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[6]_i_1__1 @@ -11599,7 +11812,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[6] ), .O(\m_payload_i[6]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair74" *) + (* SOFT_HLUTNM = "soft_lutpair79" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[7]_i_1__1 @@ -11607,7 +11820,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[7] ), .O(\m_payload_i[7]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair73" *) + (* SOFT_HLUTNM = "soft_lutpair78" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[8]_i_1__1 @@ -11615,7 +11828,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[8] ), .O(\m_payload_i[8]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair73" *) + (* SOFT_HLUTNM = "soft_lutpair78" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[9]_i_1__1 @@ -11721,7 +11934,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .D(m_valid_i0), .Q(s_axi_bvalid), .R(\aresetn_d_reg[1]_inv )); - (* SOFT_HLUTNM = "soft_lutpair70" *) + (* SOFT_HLUTNM = "soft_lutpair75" *) LUT4 #( .INIT(16'hF4FF)) s_ready_i_i_1 @@ -11736,7 +11949,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .D(s_ready_i0), .Q(\skid_buffer_reg[0]_0 ), .R(\aresetn_d_reg[0] )); - (* SOFT_HLUTNM = "soft_lutpair70" *) + (* SOFT_HLUTNM = "soft_lutpair75" *) LUT2 #( .INIT(4'h8)) shandshake_r_i_1 @@ -11967,7 +12180,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[0] ), .O(\m_payload_i[0]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair96" *) + (* SOFT_HLUTNM = "soft_lutpair101" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[10]_i_1__2 @@ -11975,7 +12188,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[10] ), .O(\m_payload_i[10]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair95" *) + (* SOFT_HLUTNM = "soft_lutpair100" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[11]_i_1__2 @@ -11983,7 +12196,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[11] ), .O(\m_payload_i[11]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair95" *) + (* SOFT_HLUTNM = "soft_lutpair100" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[12]_i_1__2 @@ -11991,7 +12204,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[12] ), .O(\m_payload_i[12]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair94" *) + (* SOFT_HLUTNM = "soft_lutpair99" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[13]_i_1__2 @@ -11999,7 +12212,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[13] ), .O(\m_payload_i[13]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair94" *) + (* SOFT_HLUTNM = "soft_lutpair99" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[14]_i_1__1 @@ -12007,7 +12220,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[14] ), .O(\m_payload_i[14]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair93" *) + (* SOFT_HLUTNM = "soft_lutpair98" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[15]_i_1__1 @@ -12015,7 +12228,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[15] ), .O(\m_payload_i[15]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair93" *) + (* SOFT_HLUTNM = "soft_lutpair98" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[16]_i_1__1 @@ -12023,7 +12236,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[16] ), .O(\m_payload_i[16]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair92" *) + (* SOFT_HLUTNM = "soft_lutpair97" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[17]_i_1__1 @@ -12031,7 +12244,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[17] ), .O(\m_payload_i[17]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair92" *) + (* SOFT_HLUTNM = "soft_lutpair97" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[18]_i_1__1 @@ -12039,7 +12252,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[18] ), .O(\m_payload_i[18]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair91" *) + (* SOFT_HLUTNM = "soft_lutpair96" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[19]_i_1__1 @@ -12047,7 +12260,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[19] ), .O(\m_payload_i[19]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair100" *) + (* SOFT_HLUTNM = "soft_lutpair105" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[1]_i_1__2 @@ -12055,7 +12268,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[1] ), .O(\m_payload_i[1]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair91" *) + (* SOFT_HLUTNM = "soft_lutpair96" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[20]_i_1__1 @@ -12063,7 +12276,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[20] ), .O(\m_payload_i[20]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair90" *) + (* SOFT_HLUTNM = "soft_lutpair95" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[21]_i_1__1 @@ -12071,7 +12284,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[21] ), .O(\m_payload_i[21]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair90" *) + (* SOFT_HLUTNM = "soft_lutpair95" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[22]_i_1__1 @@ -12079,7 +12292,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[22] ), .O(\m_payload_i[22]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair89" *) + (* SOFT_HLUTNM = "soft_lutpair94" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[23]_i_1__1 @@ -12087,7 +12300,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[23] ), .O(\m_payload_i[23]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair89" *) + (* SOFT_HLUTNM = "soft_lutpair94" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[24]_i_1__1 @@ -12095,7 +12308,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[24] ), .O(\m_payload_i[24]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair88" *) + (* SOFT_HLUTNM = "soft_lutpair93" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[25]_i_1__1 @@ -12103,7 +12316,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[25] ), .O(\m_payload_i[25]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair88" *) + (* SOFT_HLUTNM = "soft_lutpair93" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[26]_i_1__1 @@ -12111,7 +12324,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[26] ), .O(\m_payload_i[26]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair87" *) + (* SOFT_HLUTNM = "soft_lutpair92" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[27]_i_1__1 @@ -12119,7 +12332,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[27] ), .O(\m_payload_i[27]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair87" *) + (* SOFT_HLUTNM = "soft_lutpair92" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[28]_i_1__1 @@ -12127,7 +12340,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[28] ), .O(\m_payload_i[28]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair86" *) + (* SOFT_HLUTNM = "soft_lutpair91" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[29]_i_1__1 @@ -12135,7 +12348,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[29] ), .O(\m_payload_i[29]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair100" *) + (* SOFT_HLUTNM = "soft_lutpair105" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[2]_i_1__2 @@ -12143,7 +12356,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[2] ), .O(\m_payload_i[2]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair86" *) + (* SOFT_HLUTNM = "soft_lutpair91" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[30]_i_1__1 @@ -12151,7 +12364,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[30] ), .O(\m_payload_i[30]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair85" *) + (* SOFT_HLUTNM = "soft_lutpair90" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[31]_i_1__1 @@ -12159,7 +12372,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[31] ), .O(\m_payload_i[31]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair85" *) + (* SOFT_HLUTNM = "soft_lutpair90" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[32]_i_1__1 @@ -12167,7 +12380,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[32] ), .O(\m_payload_i[32]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair84" *) + (* SOFT_HLUTNM = "soft_lutpair89" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[33]_i_1__1 @@ -12175,7 +12388,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[33] ), .O(\m_payload_i[33]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair84" *) + (* SOFT_HLUTNM = "soft_lutpair89" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[34]_i_1__1 @@ -12183,7 +12396,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[34] ), .O(\m_payload_i[34]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair83" *) + (* SOFT_HLUTNM = "soft_lutpair88" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[35]_i_1__1 @@ -12191,7 +12404,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[35] ), .O(\m_payload_i[35]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair83" *) + (* SOFT_HLUTNM = "soft_lutpair88" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[36]_i_1__1 @@ -12199,7 +12412,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[36] ), .O(\m_payload_i[36]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair82" *) + (* SOFT_HLUTNM = "soft_lutpair87" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[37]_i_1 @@ -12207,7 +12420,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[37] ), .O(\m_payload_i[37]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair82" *) + (* SOFT_HLUTNM = "soft_lutpair87" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[38]_i_1__1 @@ -12215,7 +12428,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[38] ), .O(\m_payload_i[38]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair81" *) + (* SOFT_HLUTNM = "soft_lutpair86" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[39]_i_1__1 @@ -12223,7 +12436,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[39] ), .O(\m_payload_i[39]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair99" *) + (* SOFT_HLUTNM = "soft_lutpair104" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[3]_i_1__2 @@ -12231,7 +12444,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[3] ), .O(\m_payload_i[3]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair81" *) + (* SOFT_HLUTNM = "soft_lutpair86" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[40]_i_1 @@ -12239,7 +12452,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[40] ), .O(\m_payload_i[40]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair80" *) + (* SOFT_HLUTNM = "soft_lutpair85" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[41]_i_1 @@ -12247,7 +12460,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[41] ), .O(\m_payload_i[41]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair80" *) + (* SOFT_HLUTNM = "soft_lutpair85" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[42]_i_1 @@ -12255,7 +12468,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[42] ), .O(\m_payload_i[42]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair79" *) + (* SOFT_HLUTNM = "soft_lutpair84" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[43]_i_1 @@ -12263,7 +12476,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[43] ), .O(\m_payload_i[43]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair78" *) + (* SOFT_HLUTNM = "soft_lutpair83" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[44]_i_1__1 @@ -12271,7 +12484,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[44] ), .O(\m_payload_i[44]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair79" *) + (* SOFT_HLUTNM = "soft_lutpair84" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[45]_i_1__1 @@ -12285,7 +12498,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa (.I0(s_axi_rready), .I1(s_axi_rvalid), .O(p_1_in)); - (* SOFT_HLUTNM = "soft_lutpair78" *) + (* SOFT_HLUTNM = "soft_lutpair83" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[46]_i_2 @@ -12293,7 +12506,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[46] ), .O(\m_payload_i[46]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair99" *) + (* SOFT_HLUTNM = "soft_lutpair104" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[4]_i_1__2 @@ -12301,7 +12514,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[4] ), .O(\m_payload_i[4]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair98" *) + (* SOFT_HLUTNM = "soft_lutpair103" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[5]_i_1__2 @@ -12309,7 +12522,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[5] ), .O(\m_payload_i[5]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair98" *) + (* SOFT_HLUTNM = "soft_lutpair103" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[6]_i_1__2 @@ -12317,7 +12530,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[6] ), .O(\m_payload_i[6]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair97" *) + (* SOFT_HLUTNM = "soft_lutpair102" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[7]_i_1__2 @@ -12325,7 +12538,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[7] ), .O(\m_payload_i[7]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair97" *) + (* SOFT_HLUTNM = "soft_lutpair102" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[8]_i_1__2 @@ -12333,7 +12546,7 @@ module system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__pa .I1(\skid_buffer_reg[0]_0 ), .I2(\skid_buffer_reg_n_0_[8] ), .O(\m_payload_i[8]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair96" *) + (* SOFT_HLUTNM = "soft_lutpair101" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[9]_i_1__2 diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.vhdl index 69c2992b687339571cd781432012d0a6daa6d551..759a48f62978f83b8573f9e7b500bfffff8671dc 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Thu Oct 12 10:01:50 2017 +-- Date : Mon Dec 18 11:27:00 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode funcsim -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_sim_netlist.vhdl @@ -21,7 +21,7 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd is axaddr_incr_reg : out STD_LOGIC_VECTOR ( 7 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axlen_cnt_reg[3]_0\ : out STD_LOGIC; + \axlen_cnt_reg[6]_0\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; @@ -31,13 +31,12 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd is \m_payload_i_reg[47]\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); - \m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); + \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); - D : in STD_LOGIC_VECTOR ( 0 to 0 ); - m_valid_i_reg_0 : in STD_LOGIC; + \state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \state_reg[0]_rep\ : in STD_LOGIC + \cnt_read_reg[0]_rep__0\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd : entity is "axi_protocol_converter_v2_1_9_b2s_incr_cmd"; @@ -72,13 +71,18 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal \axaddr_incr_reg[8]_i_1_n_7\ : STD_LOGIC; signal \axlen_cnt[1]_i_1_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1_n_0\ : STD_LOGIC; - signal \axlen_cnt[3]_i_2_n_0\ : STD_LOGIC; - signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC; - signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC; - signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC; + signal \axlen_cnt[3]_i_1_n_0\ : STD_LOGIC; + signal \axlen_cnt[4]_i_1_n_0\ : STD_LOGIC; + signal \axlen_cnt[4]_i_2_n_0\ : STD_LOGIC; + signal \axlen_cnt[4]_i_3_n_0\ : STD_LOGIC; + signal \axlen_cnt[4]_i_4_n_0\ : STD_LOGIC; + signal \axlen_cnt[5]_i_1_n_0\ : STD_LOGIC; + signal \axlen_cnt[6]_i_1_n_0\ : STD_LOGIC; + signal \axlen_cnt[6]_i_2_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_2_n_0\ : STD_LOGIC; - signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC; - signal \^axlen_cnt_reg[3]_0\ : STD_LOGIC; + signal \axlen_cnt[7]_i_3_n_0\ : STD_LOGIC; + signal \axlen_cnt[7]_i_4_n_0\ : STD_LOGIC; + signal \^axlen_cnt_reg[6]_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; @@ -86,68 +90,69 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC; - signal next_pending_r_i_5_n_0 : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1__0\ : label is "soft_lutpair105"; - attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1__0\ : label is "soft_lutpair106"; - attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2\ : label is "soft_lutpair106"; - attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3__0\ : label is "soft_lutpair105"; + attribute SOFT_HLUTNM of \axlen_cnt[1]_i_1\ : label is "soft_lutpair109"; + attribute SOFT_HLUTNM of \axlen_cnt[4]_i_2\ : label is "soft_lutpair110"; + attribute SOFT_HLUTNM of \axlen_cnt[4]_i_4\ : label is "soft_lutpair109"; + attribute SOFT_HLUTNM of \axlen_cnt[6]_i_2\ : label is "soft_lutpair111"; + attribute SOFT_HLUTNM of \axlen_cnt[7]_i_4\ : label is "soft_lutpair111"; + attribute SOFT_HLUTNM of next_pending_r_i_3 : label is "soft_lutpair110"; begin Q(0) <= \^q\(0); axaddr_incr_reg(7 downto 0) <= \^axaddr_incr_reg\(7 downto 0); \axaddr_incr_reg[11]_0\ <= \^axaddr_incr_reg[11]_0\; - \axlen_cnt_reg[3]_0\ <= \^axlen_cnt_reg[3]_0\; + \axlen_cnt_reg[6]_0\ <= \^axlen_cnt_reg[6]_0\; \axaddr_incr[0]_i_15\: unisim.vcomponents.LUT6 generic map( - INIT => X"559AAAAAAAAAAAAA" + INIT => X"6A6A6A6AAA6AAAAA" ) port map ( - I0 => \m_payload_i_reg[46]\(3), - I1 => \state_reg[1]\(0), - I2 => \state_reg[1]\(1), - I3 => \state_reg[0]_rep\, - I4 => \m_payload_i_reg[46]\(4), - I5 => \m_payload_i_reg[46]\(5), + I0 => \m_payload_i_reg[51]\(3), + I1 => \m_payload_i_reg[51]\(4), + I2 => \m_payload_i_reg[51]\(5), + I3 => \state_reg[1]\(0), + I4 => \state_reg[1]\(1), + I5 => \cnt_read_reg[0]_rep__0\, O => S(3) ); \axaddr_incr[0]_i_16\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000AAAA559AAAAA" + INIT => X"262626262A262A2A" ) port map ( - I0 => \m_payload_i_reg[46]\(2), - I1 => \state_reg[1]\(0), - I2 => \state_reg[1]\(1), - I3 => \state_reg[0]_rep\, - I4 => \m_payload_i_reg[46]\(5), - I5 => \m_payload_i_reg[46]\(4), + I0 => \m_payload_i_reg[51]\(2), + I1 => \m_payload_i_reg[51]\(5), + I2 => \m_payload_i_reg[51]\(4), + I3 => \state_reg[1]\(0), + I4 => \state_reg[1]\(1), + I5 => \cnt_read_reg[0]_rep__0\, O => S(2) ); \axaddr_incr[0]_i_17\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000000559AAAAA" + INIT => X"060606060A060A0A" ) port map ( - I0 => \m_payload_i_reg[46]\(1), - I1 => \state_reg[1]\(0), - I2 => \state_reg[1]\(1), - I3 => \state_reg[0]_rep\, - I4 => \m_payload_i_reg[46]\(4), - I5 => \m_payload_i_reg[46]\(5), + I0 => \m_payload_i_reg[51]\(1), + I1 => \m_payload_i_reg[51]\(4), + I2 => \m_payload_i_reg[51]\(5), + I3 => \state_reg[1]\(0), + I4 => \state_reg[1]\(1), + I5 => \cnt_read_reg[0]_rep__0\, O => S(1) ); \axaddr_incr[0]_i_18\: unisim.vcomponents.LUT6 generic map( - INIT => X"000000000000559A" + INIT => X"0101010102010202" ) port map ( - I0 => \m_payload_i_reg[46]\(0), - I1 => \state_reg[1]\(0), - I2 => \state_reg[1]\(1), - I3 => \state_reg[0]_rep\, - I4 => \m_payload_i_reg[46]\(4), - I5 => \m_payload_i_reg[46]\(5), + I0 => \m_payload_i_reg[51]\(0), + I1 => \m_payload_i_reg[51]\(4), + I2 => \m_payload_i_reg[51]\(5), + I3 => \state_reg[1]\(0), + I4 => \state_reg[1]\(1), + I5 => \cnt_read_reg[0]_rep__0\, O => S(0) ); \axaddr_incr[4]_i_2\: unisim.vcomponents.LUT3 @@ -368,10 +373,10 @@ begin ) port map ( I0 => E(0), - I1 => \m_payload_i_reg[46]\(6), + I1 => \m_payload_i_reg[51]\(6), I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \^q\(0), - I4 => \^axlen_cnt_reg[3]_0\, + I4 => \^axlen_cnt_reg[6]_0\, O => \axlen_cnt[1]_i_1_n_0\ ); \axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6 @@ -380,14 +385,14 @@ begin ) port map ( I0 => E(0), - I1 => \m_payload_i_reg[46]\(7), + I1 => \m_payload_i_reg[51]\(7), I2 => \axlen_cnt_reg_n_0_[2]\, I3 => \^q\(0), I4 => \axlen_cnt_reg_n_0_[1]\, - I5 => \^axlen_cnt_reg[3]_0\, + I5 => \^axlen_cnt_reg[6]_0\, O => \axlen_cnt[2]_i_1_n_0\ ); -\axlen_cnt[3]_i_2\: unisim.vcomponents.LUT6 +\axlen_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA90000FFFFFFFF" ) @@ -396,73 +401,127 @@ begin I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \^q\(0), - I4 => \^axlen_cnt_reg[3]_0\, + I4 => \^axlen_cnt_reg[6]_0\, I5 => \m_payload_i_reg[47]\, - O => \axlen_cnt[3]_i_2_n_0\ + O => \axlen_cnt[3]_i_1_n_0\ ); -\axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5 +\axlen_cnt[4]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"AAAAAAA9" + INIT => X"888B8B8B8B888888" ) port map ( - I0 => \axlen_cnt_reg_n_0_[4]\, - I1 => \^q\(0), - I2 => \axlen_cnt_reg_n_0_[1]\, - I3 => \axlen_cnt_reg_n_0_[2]\, - I4 => \axlen_cnt_reg_n_0_[3]\, - O => \axlen_cnt[4]_i_1__0_n_0\ + I0 => \m_payload_i_reg[51]\(8), + I1 => E(0), + I2 => \axlen_cnt[4]_i_2_n_0\, + I3 => \axlen_cnt[4]_i_3_n_0\, + I4 => \axlen_cnt[4]_i_4_n_0\, + I5 => \axlen_cnt_reg_n_0_[4]\, + O => \axlen_cnt[4]_i_1_n_0\ ); -\axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT6 +\axlen_cnt[4]_i_2\: unisim.vcomponents.LUT4 generic map( - INIT => X"AAAAAAAAAAAAAAA9" + INIT => X"0002" ) port map ( - I0 => \axlen_cnt_reg_n_0_[5]\, + I0 => \axlen_cnt[7]_i_4_n_0\, + I1 => \axlen_cnt_reg_n_0_[7]\, + I2 => \axlen_cnt_reg_n_0_[5]\, + I3 => \axlen_cnt_reg_n_0_[6]\, + O => \axlen_cnt[4]_i_2_n_0\ + ); +\axlen_cnt[4]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"1" + ) + port map ( + I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[3]\, - I2 => \axlen_cnt_reg_n_0_[2]\, - I3 => \axlen_cnt_reg_n_0_[1]\, - I4 => \^q\(0), - I5 => \axlen_cnt_reg_n_0_[4]\, - O => \axlen_cnt[5]_i_1__0_n_0\ + O => \axlen_cnt[4]_i_3_n_0\ ); -\axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT3 +\axlen_cnt[4]_i_4\: unisim.vcomponents.LUT2 generic map( - INIT => X"A6" + INIT => X"1" ) port map ( - I0 => \axlen_cnt_reg_n_0_[6]\, - I1 => \axlen_cnt[7]_i_3__0_n_0\, + I0 => \axlen_cnt_reg_n_0_[1]\, + I1 => \^q\(0), + O => \axlen_cnt[4]_i_4_n_0\ + ); +\axlen_cnt[5]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"8FF88888" + ) + port map ( + I0 => E(0), + I1 => \m_payload_i_reg[51]\(9), I2 => \axlen_cnt_reg_n_0_[5]\, - O => \axlen_cnt[6]_i_1__0_n_0\ + I3 => \axlen_cnt[6]_i_2_n_0\, + I4 => \^axlen_cnt_reg[6]_0\, + O => \axlen_cnt[5]_i_1_n_0\ ); -\axlen_cnt[7]_i_2\: unisim.vcomponents.LUT4 +\axlen_cnt[6]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"A9AA" + INIT => X"F8F88FF888888888" ) port map ( - I0 => \axlen_cnt_reg_n_0_[7]\, - I1 => \axlen_cnt_reg_n_0_[5]\, + I0 => E(0), + I1 => \m_payload_i_reg[51]\(10), I2 => \axlen_cnt_reg_n_0_[6]\, - I3 => \axlen_cnt[7]_i_3__0_n_0\, - O => \axlen_cnt[7]_i_2_n_0\ + I3 => \axlen_cnt[6]_i_2_n_0\, + I4 => \axlen_cnt_reg_n_0_[5]\, + I5 => \^axlen_cnt_reg[6]_0\, + O => \axlen_cnt[6]_i_1_n_0\ ); -\axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT5 +\axlen_cnt[6]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00000001" ) port map ( - I0 => \axlen_cnt_reg_n_0_[4]\, - I1 => \^q\(0), - I2 => \axlen_cnt_reg_n_0_[1]\, - I3 => \axlen_cnt_reg_n_0_[2]\, - I4 => \axlen_cnt_reg_n_0_[3]\, - O => \axlen_cnt[7]_i_3__0_n_0\ + I0 => \axlen_cnt_reg_n_0_[1]\, + I1 => \axlen_cnt_reg_n_0_[4]\, + I2 => \axlen_cnt_reg_n_0_[2]\, + I3 => \axlen_cnt_reg_n_0_[3]\, + I4 => \^q\(0), + O => \axlen_cnt[6]_i_2_n_0\ + ); +\axlen_cnt[7]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAAAAAAACCCCC0CC" + ) + port map ( + I0 => \m_payload_i_reg[51]\(11), + I1 => \axlen_cnt_reg_n_0_[7]\, + I2 => \axlen_cnt[7]_i_3_n_0\, + I3 => \axlen_cnt[7]_i_4_n_0\, + I4 => \^q\(0), + I5 => E(0), + O => \axlen_cnt[7]_i_2_n_0\ + ); +\axlen_cnt[7]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"E" + ) + port map ( + I0 => \axlen_cnt_reg_n_0_[5]\, + I1 => \axlen_cnt_reg_n_0_[6]\, + O => \axlen_cnt[7]_i_3_n_0\ + ); +\axlen_cnt[7]_i_4\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => \axlen_cnt_reg_n_0_[3]\, + I1 => \axlen_cnt_reg_n_0_[2]\, + I2 => \axlen_cnt_reg_n_0_[4]\, + I3 => \axlen_cnt_reg_n_0_[1]\, + O => \axlen_cnt[7]_i_4_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), - D => D(0), + D => \state_reg[0]\(0), Q => \^q\(0), R => '0' ); @@ -486,7 +545,7 @@ begin port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[3]_i_2_n_0\, + D => \axlen_cnt[3]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); @@ -494,25 +553,25 @@ begin port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[4]_i_1__0_n_0\, + D => \axlen_cnt[4]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[4]\, - R => m_valid_i_reg_0 + R => '0' ); \axlen_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[5]_i_1__0_n_0\, + D => \axlen_cnt[5]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[5]\, - R => m_valid_i_reg_0 + R => '0' ); \axlen_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[6]_i_1__0_n_0\, + D => \axlen_cnt[6]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[6]\, - R => m_valid_i_reg_0 + R => '0' ); \axlen_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( @@ -520,9 +579,9 @@ begin CE => m_valid_i_reg(0), D => \axlen_cnt[7]_i_2_n_0\, Q => \axlen_cnt_reg_n_0_[7]\, - R => m_valid_i_reg_0 + R => '0' ); -next_pending_r_i_2: unisim.vcomponents.LUT5 +next_pending_r_i_3: unisim.vcomponents.LUT5 generic map( INIT => X"55545555" ) @@ -531,19 +590,8 @@ next_pending_r_i_2: unisim.vcomponents.LUT5 I1 => \axlen_cnt_reg_n_0_[6]\, I2 => \axlen_cnt_reg_n_0_[5]\, I3 => \axlen_cnt_reg_n_0_[7]\, - I4 => next_pending_r_i_5_n_0, - O => \^axlen_cnt_reg[3]_0\ - ); -next_pending_r_i_5: unisim.vcomponents.LUT4 - generic map( - INIT => X"0001" - ) - port map ( - I0 => \axlen_cnt_reg_n_0_[2]\, - I1 => \axlen_cnt_reg_n_0_[1]\, - I2 => \axlen_cnt_reg_n_0_[4]\, - I3 => \axlen_cnt_reg_n_0_[3]\, - O => next_pending_r_i_5_n_0 + I4 => \axlen_cnt[7]_i_4_n_0\, + O => \^axlen_cnt_reg[6]_0\ ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( @@ -572,33 +620,29 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 is \axaddr_incr_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); axaddr_incr_reg : out STD_LOGIC_VECTOR ( 7 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC; - Q : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axlen_cnt_reg[1]_0\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; sel_first_reg_0 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_1 : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; + Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); + si_rs_arvalid : in STD_LOGIC; + \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 12 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); CO : in STD_LOGIC_VECTOR ( 0 to 0 ); - \m_payload_i_reg[46]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \state_reg[1]_rep\ : in STD_LOGIC; - \m_payload_i_reg[44]\ : in STD_LOGIC; + \m_payload_i_reg[48]\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); - D : in STD_LOGIC_VECTOR ( 0 to 0 ); - \state_reg[1]\ : in STD_LOGIC; - m_axi_arready : in STD_LOGIC; - \state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ) + m_axi_arready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_9_b2s_incr_cmd"; end system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2; architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 is - signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \axaddr_incr[4]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_4__0_n_0\ : STD_LOGIC; @@ -624,15 +668,20 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal \axaddr_incr_reg[8]_i_1__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_7\ : STD_LOGIC; + signal \axlen_cnt[0]_i_1__1_n_0\ : STD_LOGIC; signal \axlen_cnt[1]_i_1__1_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC; - signal \axlen_cnt[3]_i_2__0_n_0\ : STD_LOGIC; - signal \axlen_cnt[4]_i_1_n_0\ : STD_LOGIC; - signal \axlen_cnt[5]_i_1_n_0\ : STD_LOGIC; - signal \axlen_cnt[6]_i_1_n_0\ : STD_LOGIC; + signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC; + signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC; + signal \axlen_cnt[4]_i_2__0_n_0\ : STD_LOGIC; + signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC; + signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC; + signal \axlen_cnt[6]_i_2__0_n_0\ : STD_LOGIC; + signal \axlen_cnt[6]_i_3_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC; - signal \axlen_cnt[7]_i_3_n_0\ : STD_LOGIC; - signal \^axlen_cnt_reg[1]_0\ : STD_LOGIC; + signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC; + signal \axlen_cnt[7]_i_4__0_n_0\ : STD_LOGIC; + signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; @@ -641,34 +690,29 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC; signal \^incr_next_pending\ : STD_LOGIC; - signal \next_pending_r_i_2__1_n_0\ : STD_LOGIC; - signal \next_pending_r_i_4__0_n_0\ : STD_LOGIC; + signal \next_pending_r_i_2__2_n_0\ : STD_LOGIC; signal next_pending_r_reg_n_0 : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \axlen_cnt[3]_i_3__0\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \axlen_cnt[4]_i_1\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \axlen_cnt[6]_i_1\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \axlen_cnt[7]_i_2__0\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \next_pending_r_i_2__1\ : label is "soft_lutpair5"; + attribute SOFT_HLUTNM of \axlen_cnt[6]_i_2__0\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \axlen_cnt[6]_i_3\ : label is "soft_lutpair5"; + attribute SOFT_HLUTNM of \axlen_cnt[7]_i_4__0\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \next_pending_r_i_2__2\ : label is "soft_lutpair5"; begin - Q(0) <= \^q\(0); axaddr_incr_reg(7 downto 0) <= \^axaddr_incr_reg\(7 downto 0); \axaddr_incr_reg[11]_0\ <= \^axaddr_incr_reg[11]_0\; - \axlen_cnt_reg[1]_0\ <= \^axlen_cnt_reg[1]_0\; incr_next_pending <= \^incr_next_pending\; \axaddr_incr[0]_i_15\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA6AAAAAAAAAAA" ) port map ( - I0 => \m_payload_i_reg[46]\(3), - I1 => \m_payload_i_reg[46]\(4), - I2 => \m_payload_i_reg[46]\(5), + I0 => \m_payload_i_reg[51]\(3), + I1 => \m_payload_i_reg[51]\(4), + I2 => \m_payload_i_reg[51]\(5), I3 => m_axi_arready, - I4 => \state_reg[1]_0\(1), - I5 => \state_reg[1]_0\(0), + I4 => Q(1), + I5 => Q(0), O => S(3) ); \axaddr_incr[0]_i_16\: unisim.vcomponents.LUT6 @@ -676,12 +720,12 @@ begin INIT => X"2A2A262A2A2A2A2A" ) port map ( - I0 => \m_payload_i_reg[46]\(2), - I1 => \m_payload_i_reg[46]\(5), - I2 => \m_payload_i_reg[46]\(4), + I0 => \m_payload_i_reg[51]\(2), + I1 => \m_payload_i_reg[51]\(5), + I2 => \m_payload_i_reg[51]\(4), I3 => m_axi_arready, - I4 => \state_reg[1]_0\(1), - I5 => \state_reg[1]_0\(0), + I4 => Q(1), + I5 => Q(0), O => S(2) ); \axaddr_incr[0]_i_17\: unisim.vcomponents.LUT6 @@ -689,12 +733,12 @@ begin INIT => X"0A0A060A0A0A0A0A" ) port map ( - I0 => \m_payload_i_reg[46]\(1), - I1 => \m_payload_i_reg[46]\(4), - I2 => \m_payload_i_reg[46]\(5), + I0 => \m_payload_i_reg[51]\(1), + I1 => \m_payload_i_reg[51]\(4), + I2 => \m_payload_i_reg[51]\(5), I3 => m_axi_arready, - I4 => \state_reg[1]_0\(1), - I5 => \state_reg[1]_0\(0), + I4 => Q(1), + I5 => Q(0), O => S(1) ); \axaddr_incr[0]_i_18\: unisim.vcomponents.LUT6 @@ -702,12 +746,12 @@ begin INIT => X"0202010202020202" ) port map ( - I0 => \m_payload_i_reg[46]\(0), - I1 => \m_payload_i_reg[46]\(4), - I2 => \m_payload_i_reg[46]\(5), + I0 => \m_payload_i_reg[51]\(0), + I1 => \m_payload_i_reg[51]\(4), + I2 => \m_payload_i_reg[51]\(5), I3 => m_axi_arready, - I4 => \state_reg[1]_0\(1), - I5 => \state_reg[1]_0\(0), + I4 => Q(1), + I5 => Q(0), O => S(0) ); \axaddr_incr[4]_i_2__0\: unisim.vcomponents.LUT3 @@ -922,16 +966,29 @@ begin Q => \^axaddr_incr_reg\(5), R => '0' ); +\axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"44444F4444444444" + ) + port map ( + I0 => \axlen_cnt_reg_n_0_[0]\, + I1 => \axlen_cnt[6]_i_3_n_0\, + I2 => Q(1), + I3 => si_rs_arvalid, + I4 => Q(0), + I5 => \m_payload_i_reg[51]\(6), + O => \axlen_cnt[0]_i_1__1_n_0\ + ); \axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => E(0), - I1 => \m_payload_i_reg[46]\(6), + I1 => \m_payload_i_reg[51]\(7), I2 => \axlen_cnt_reg_n_0_[1]\, - I3 => \^q\(0), - I4 => \^axlen_cnt_reg[1]_0\, + I3 => \axlen_cnt_reg_n_0_[0]\, + I4 => \axlen_cnt[6]_i_3_n_0\, O => \axlen_cnt[1]_i_1__1_n_0\ ); \axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6 @@ -940,14 +997,14 @@ begin ) port map ( I0 => E(0), - I1 => \m_payload_i_reg[46]\(7), + I1 => \m_payload_i_reg[51]\(8), I2 => \axlen_cnt_reg_n_0_[2]\, - I3 => \^q\(0), + I3 => \axlen_cnt_reg_n_0_[0]\, I4 => \axlen_cnt_reg_n_0_[1]\, - I5 => \^axlen_cnt_reg[1]_0\, + I5 => \axlen_cnt[6]_i_3_n_0\, O => \axlen_cnt[2]_i_1__1_n_0\ ); -\axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT6 +\axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA90000FFFFFFFF" ) @@ -955,70 +1012,60 @@ begin I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[1]\, - I3 => \^q\(0), - I4 => \^axlen_cnt_reg[1]_0\, + I3 => \axlen_cnt_reg_n_0_[0]\, + I4 => \axlen_cnt[6]_i_3_n_0\, I5 => \m_payload_i_reg[47]\, - O => \axlen_cnt[3]_i_2__0_n_0\ + O => \axlen_cnt[3]_i_1__1_n_0\ ); -\axlen_cnt[3]_i_3__0\: unisim.vcomponents.LUT5 +\axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"55545555" + INIT => X"F88FF8F888888888" ) port map ( I0 => E(0), - I1 => \axlen_cnt_reg_n_0_[7]\, - I2 => \axlen_cnt_reg_n_0_[6]\, - I3 => \axlen_cnt_reg_n_0_[5]\, - I4 => \next_pending_r_i_4__0_n_0\, - O => \^axlen_cnt_reg[1]_0\ - ); -\axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"AAAAAAA9" - ) - port map ( - I0 => \axlen_cnt_reg_n_0_[4]\, - I1 => \axlen_cnt_reg_n_0_[3]\, - I2 => \^q\(0), - I3 => \axlen_cnt_reg_n_0_[1]\, - I4 => \axlen_cnt_reg_n_0_[2]\, - O => \axlen_cnt[4]_i_1_n_0\ + I1 => \m_payload_i_reg[51]\(9), + I2 => \axlen_cnt_reg_n_0_[4]\, + I3 => \axlen_cnt_reg_n_0_[3]\, + I4 => \axlen_cnt[4]_i_2__0_n_0\, + I5 => \axlen_cnt[6]_i_3_n_0\, + O => \axlen_cnt[4]_i_1__0_n_0\ ); -\axlen_cnt[5]_i_1\: unisim.vcomponents.LUT6 +\axlen_cnt[4]_i_2__0\: unisim.vcomponents.LUT3 generic map( - INIT => X"AAAAAAAAAAAAAAA9" + INIT => X"01" ) port map ( - I0 => \axlen_cnt_reg_n_0_[5]\, - I1 => \^q\(0), + I0 => \axlen_cnt_reg_n_0_[0]\, + I1 => \axlen_cnt_reg_n_0_[1]\, I2 => \axlen_cnt_reg_n_0_[2]\, - I3 => \axlen_cnt_reg_n_0_[1]\, - I4 => \axlen_cnt_reg_n_0_[4]\, - I5 => \axlen_cnt_reg_n_0_[3]\, - O => \axlen_cnt[5]_i_1_n_0\ + O => \axlen_cnt[4]_i_2__0_n_0\ ); -\axlen_cnt[6]_i_1\: unisim.vcomponents.LUT3 +\axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( - INIT => X"9A" + INIT => X"8FF88888" ) port map ( - I0 => \axlen_cnt_reg_n_0_[6]\, - I1 => \axlen_cnt_reg_n_0_[5]\, - I2 => \axlen_cnt[7]_i_3_n_0\, - O => \axlen_cnt[6]_i_1_n_0\ + I0 => E(0), + I1 => \m_payload_i_reg[51]\(10), + I2 => \axlen_cnt_reg_n_0_[5]\, + I3 => \axlen_cnt[6]_i_2__0_n_0\, + I4 => \axlen_cnt[6]_i_3_n_0\, + O => \axlen_cnt[5]_i_1__0_n_0\ ); -\axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT4 +\axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"A9AA" + INIT => X"F8F88FF888888888" ) port map ( - I0 => \axlen_cnt_reg_n_0_[7]\, - I1 => \axlen_cnt_reg_n_0_[5]\, + I0 => E(0), + I1 => \m_payload_i_reg[51]\(11), I2 => \axlen_cnt_reg_n_0_[6]\, - I3 => \axlen_cnt[7]_i_3_n_0\, - O => \axlen_cnt[7]_i_2__0_n_0\ + I3 => \axlen_cnt[6]_i_2__0_n_0\, + I4 => \axlen_cnt_reg_n_0_[5]\, + I5 => \axlen_cnt[6]_i_3_n_0\, + O => \axlen_cnt[6]_i_1__0_n_0\ ); -\axlen_cnt[7]_i_3\: unisim.vcomponents.LUT5 +\axlen_cnt[6]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000001" ) @@ -1027,15 +1074,60 @@ begin I1 => \axlen_cnt_reg_n_0_[4]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[2]\, - I4 => \^q\(0), - O => \axlen_cnt[7]_i_3_n_0\ + I4 => \axlen_cnt_reg_n_0_[0]\, + O => \axlen_cnt[6]_i_2__0_n_0\ + ); +\axlen_cnt[6]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"55545555" + ) + port map ( + I0 => E(0), + I1 => \axlen_cnt_reg_n_0_[6]\, + I2 => \axlen_cnt_reg_n_0_[5]\, + I3 => \axlen_cnt_reg_n_0_[7]\, + I4 => \axlen_cnt[7]_i_4__0_n_0\, + O => \axlen_cnt[6]_i_3_n_0\ + ); +\axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAAAAAAACCCCC0CC" + ) + port map ( + I0 => \m_payload_i_reg[51]\(12), + I1 => \axlen_cnt_reg_n_0_[7]\, + I2 => \axlen_cnt[7]_i_3__0_n_0\, + I3 => \axlen_cnt[7]_i_4__0_n_0\, + I4 => \axlen_cnt_reg_n_0_[0]\, + I5 => E(0), + O => \axlen_cnt[7]_i_2__0_n_0\ + ); +\axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT2 + generic map( + INIT => X"E" + ) + port map ( + I0 => \axlen_cnt_reg_n_0_[5]\, + I1 => \axlen_cnt_reg_n_0_[6]\, + O => \axlen_cnt[7]_i_3__0_n_0\ + ); +\axlen_cnt[7]_i_4__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => \axlen_cnt_reg_n_0_[2]\, + I1 => \axlen_cnt_reg_n_0_[1]\, + I2 => \axlen_cnt_reg_n_0_[4]\, + I3 => \axlen_cnt_reg_n_0_[3]\, + O => \axlen_cnt[7]_i_4__0_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), - D => D(0), - Q => \^q\(0), + D => \axlen_cnt[0]_i_1__1_n_0\, + Q => \axlen_cnt_reg_n_0_[0]\, R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE @@ -1058,7 +1150,7 @@ begin port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[3]_i_2__0_n_0\, + D => \axlen_cnt[3]_i_1__1_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); @@ -1066,25 +1158,25 @@ begin port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[4]_i_1_n_0\, + D => \axlen_cnt[4]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[4]\, - R => \state_reg[1]\ + R => '0' ); \axlen_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[5]_i_1_n_0\, + D => \axlen_cnt[5]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[5]\, - R => \state_reg[1]\ + R => '0' ); \axlen_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[6]_i_1_n_0\, + D => \axlen_cnt[6]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[6]\, - R => \state_reg[1]\ + R => '0' ); \axlen_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( @@ -1092,41 +1184,30 @@ begin CE => m_valid_i_reg(0), D => \axlen_cnt[7]_i_2__0_n_0\, Q => \axlen_cnt_reg_n_0_[7]\, - R => \state_reg[1]\ + R => '0' ); \next_pending_r_i_1__2\: unisim.vcomponents.LUT5 generic map( - INIT => X"FFFF505C" + INIT => X"505CFF5C" ) port map ( - I0 => \next_pending_r_i_2__1_n_0\, + I0 => \next_pending_r_i_2__2_n_0\, I1 => next_pending_r_reg_n_0, I2 => \state_reg[1]_rep\, I3 => E(0), - I4 => \m_payload_i_reg[44]\, + I4 => \m_payload_i_reg[48]\, O => \^incr_next_pending\ ); -\next_pending_r_i_2__1\: unisim.vcomponents.LUT4 +\next_pending_r_i_2__2\: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( - I0 => \next_pending_r_i_4__0_n_0\, - I1 => \axlen_cnt_reg_n_0_[5]\, - I2 => \axlen_cnt_reg_n_0_[6]\, - I3 => \axlen_cnt_reg_n_0_[7]\, - O => \next_pending_r_i_2__1_n_0\ - ); -\next_pending_r_i_4__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"0001" - ) - port map ( - I0 => \axlen_cnt_reg_n_0_[2]\, - I1 => \axlen_cnt_reg_n_0_[1]\, - I2 => \axlen_cnt_reg_n_0_[4]\, - I3 => \axlen_cnt_reg_n_0_[3]\, - O => \next_pending_r_i_4__0_n_0\ + I0 => \axlen_cnt[7]_i_4__0_n_0\, + I1 => \axlen_cnt_reg_n_0_[7]\, + I2 => \axlen_cnt_reg_n_0_[5]\, + I3 => \axlen_cnt_reg_n_0_[6]\, + O => \next_pending_r_i_2__2_n_0\ ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( @@ -1151,49 +1232,34 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm is port ( - \axlen_cnt_reg[7]\ : out STD_LOGIC; - Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); - D : out STD_LOGIC_VECTOR ( 1 downto 0 ); - \axaddr_offset_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axlen_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); + \m_payload_i_reg[0]\ : out STD_LOGIC; + \m_payload_i_reg[0]_0\ : out STD_LOGIC; s_axburst_eq0_reg : out STD_LOGIC; wrap_next_pending : out STD_LOGIC; sel_first_i : out STD_LOGIC; s_axburst_eq1_reg : out STD_LOGIC; r_push_r_reg : out STD_LOGIC; - \m_payload_i_reg[0]\ : out STD_LOGIC; - \m_payload_i_reg[0]_0\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; m_valid_i0 : out STD_LOGIC; \m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); sel_first_reg : out STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); sel_first_reg_0 : out STD_LOGIC; - m_axi_arready : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; - \axlen_cnt_reg[7]_0\ : in STD_LOGIC; - \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \axaddr_offset_r_reg[3]\ : in STD_LOGIC; - \cnt_read_reg[1]_rep__0\ : in STD_LOGIC; - s_axburst_eq1_reg_0 : in STD_LOGIC; - \m_payload_i_reg[44]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \axlen_cnt_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \wrap_second_len_r_reg[2]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \m_payload_i_reg[35]\ : in STD_LOGIC; - \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \m_payload_i_reg[35]_0\ : in STD_LOGIC; - \axaddr_offset_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \m_payload_i_reg[3]\ : in STD_LOGIC; + \m_payload_i_reg[39]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); incr_next_pending : in STD_LOGIC; - \m_payload_i_reg[44]_0\ : in STD_LOGIC; - \state_reg[0]_0\ : in STD_LOGIC; + \m_payload_i_reg[46]\ : in STD_LOGIC; + \state_reg[0]_rep_0\ : in STD_LOGIC; next_pending_r_reg : in STD_LOGIC; + m_axi_arready : in STD_LOGIC; areset_d1 : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; + s_axburst_eq1_reg_0 : in STD_LOGIC; + \cnt_read_reg[1]_rep__0\ : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_ready_i_reg : in STD_LOGIC; sel_first_reg_3 : in STD_LOGIC; @@ -1206,25 +1272,22 @@ end system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm; architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_payload_i_reg[0]\ : STD_LOGIC; signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal next_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^r_push_r_reg\ : STD_LOGIC; signal \^sel_first_i\ : STD_LOGIC; - signal \wrap_cnt_r[3]_i_2__0_n_0\ : STD_LOGIC; signal \^wrap_next_pending\ : STD_LOGIC; - signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1__0\ : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair3"; + attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of m_axi_arvalid_INST_0 : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \state[1]_i_1__0\ : label is "soft_lutpair0"; + attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair0"; + attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of \state[1]_i_1__0\ : label is "soft_lutpair1"; attribute KEEP : string; attribute KEEP of \state_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; @@ -1235,17 +1298,15 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]"; attribute KEEP of \state_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]"; - attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair0"; begin E(0) <= \^e\(0); Q(1 downto 0) <= \^q\(1 downto 0); - \axaddr_offset_r_reg[0]\(0) <= \^axaddr_offset_r_reg[0]\(0); \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; r_push_r_reg <= \^r_push_r_reg\; sel_first_i <= \^sel_first_i\; wrap_next_pending <= \^wrap_next_pending\; - \wrap_second_len_r_reg[3]\(1 downto 0) <= \^wrap_second_len_r_reg[3]\(1 downto 0); \axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"AEAA" @@ -1257,33 +1318,7 @@ begin I3 => m_axi_arready, O => \axaddr_incr_reg[11]\ ); -\axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"AAAAACAAAAAAA0AA" - ) - port map ( - I0 => \axaddr_offset_r_reg[0]_0\(0), - I1 => \m_payload_i_reg[44]\(1), - I2 => \^q\(0), - I3 => si_rs_arvalid, - I4 => \^q\(1), - I5 => \m_payload_i_reg[3]\, - O => \^axaddr_offset_r_reg[0]\(0) - ); -\axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"0400FFFF04000400" - ) - port map ( - I0 => \^q\(1), - I1 => si_rs_arvalid, - I2 => \^q\(0), - I3 => \m_payload_i_reg[44]\(1), - I4 => \axlen_cnt_reg[0]_0\(0), - I5 => \axlen_cnt_reg[7]_0\, - O => \axlen_cnt_reg[0]\(0) - ); -\axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT4 +\axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0E02" ) @@ -1294,18 +1329,6 @@ begin I3 => m_axi_arready, O => \axlen_cnt_reg[3]\(0) ); -\axlen_cnt[7]_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"00002320" - ) - port map ( - I0 => m_axi_arready, - I1 => \^q\(1), - I2 => \^q\(0), - I3 => si_rs_arvalid, - I4 => \axlen_cnt_reg[7]_0\, - O => \axlen_cnt_reg[7]\ - ); m_axi_arvalid_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" @@ -1339,13 +1362,13 @@ m_axi_arvalid_INST_0: unisim.vcomponents.LUT2 ); \next_pending_r_i_1__1\: unisim.vcomponents.LUT5 generic map( - INIT => X"FFABEEAA" + INIT => X"FF53DC50" ) port map ( - I0 => \m_payload_i_reg[44]_0\, + I0 => \m_payload_i_reg[46]\, I1 => \^r_push_r_reg\, I2 => \^e\(0), - I3 => \state_reg[0]_0\, + I3 => \state_reg[0]_rep_0\, I4 => next_pending_r_reg, O => \^wrap_next_pending\ ); @@ -1365,7 +1388,7 @@ r_push_r_i_1: unisim.vcomponents.LUT3 ) port map ( I0 => \^wrap_next_pending\, - I1 => \m_payload_i_reg[44]\(0), + I1 => \m_payload_i_reg[39]\(0), I2 => \^sel_first_i\, I3 => incr_next_pending, O => s_axburst_eq0_reg @@ -1376,7 +1399,7 @@ r_push_r_i_1: unisim.vcomponents.LUT3 ) port map ( I0 => \^wrap_next_pending\, - I1 => \m_payload_i_reg[44]\(0), + I1 => \m_payload_i_reg[39]\(0), I2 => \^sel_first_i\, I3 => incr_next_pending, O => s_axburst_eq1_reg @@ -1422,15 +1445,15 @@ r_push_r_i_1: unisim.vcomponents.LUT3 ); \state[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000CFFFCCCC8888" + INIT => X"0F000F00FF70F070" ) - port map ( - I0 => si_rs_arvalid, - I1 => \cnt_read_reg[1]_rep__0\, - I2 => s_axburst_eq1_reg_0, - I3 => m_axi_arready, - I4 => \^q\(1), - I5 => \^q\(0), + port map ( + I0 => m_axi_arready, + I1 => s_axburst_eq1_reg_0, + I2 => \^m_payload_i_reg[0]_0\, + I3 => \cnt_read_reg[1]_rep__0\, + I4 => si_rs_arvalid, + I5 => \^m_payload_i_reg[0]\, O => next_state(0) ); \state[1]_i_1__0\: unisim.vcomponents.LUT5 @@ -1477,7 +1500,7 @@ r_push_r_i_1: unisim.vcomponents.LUT3 Q => \^m_payload_i_reg[0]\, R => areset_d1 ); -\wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3 +\wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) @@ -1487,69 +1510,6 @@ r_push_r_i_1: unisim.vcomponents.LUT3 I2 => \^m_payload_i_reg[0]_0\, O => \^e\(0) ); -\wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"5575AA8A5545AA8A" - ) - port map ( - I0 => \wrap_second_len_r_reg[3]_0\(0), - I1 => \^q\(0), - I2 => si_rs_arvalid, - I3 => \^q\(1), - I4 => \axaddr_offset_r_reg[3]\, - I5 => \^axaddr_offset_r_reg[0]\(0), - O => D(0) - ); -\wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A6AA" - ) - port map ( - I0 => \^wrap_second_len_r_reg[3]\(1), - I1 => \wrap_second_len_r_reg[2]\(0), - I2 => \wrap_cnt_r[3]_i_2__0_n_0\, - I3 => \wrap_second_len_r_reg[2]\(1), - O => D(1) - ); -\wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DD11DD11DD11DDF1" - ) - port map ( - I0 => \wrap_second_len_r_reg[3]_0\(0), - I1 => \^e\(0), - I2 => \m_payload_i_reg[35]\, - I3 => \^axaddr_offset_r_reg[0]\(0), - I4 => \m_payload_i_reg[47]\(0), - I5 => \m_payload_i_reg[47]\(1), - O => \wrap_cnt_r[3]_i_2__0_n_0\ - ); -\wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"AA8AAA8AAABAAA8A" - ) - port map ( - I0 => \wrap_second_len_r_reg[3]_0\(0), - I1 => \^q\(0), - I2 => si_rs_arvalid, - I3 => \^q\(1), - I4 => \axaddr_offset_r_reg[3]\, - I5 => \^axaddr_offset_r_reg[0]\(0), - O => \^wrap_second_len_r_reg[3]\(0) - ); -\wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"FB00FFFFFB00FB00" - ) - port map ( - I0 => \^axaddr_offset_r_reg[0]\(0), - I1 => \m_payload_i_reg[35]\, - I2 => \m_payload_i_reg[47]\(0), - I3 => \m_payload_i_reg[35]_0\, - I4 => \^e\(0), - I5 => \wrap_second_len_r_reg[3]_0\(1), - O => \^wrap_second_len_r_reg[3]\(1) - ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; @@ -1562,17 +1522,13 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo is \state_reg[0]_rep\ : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); bresp_push : out STD_LOGIC; - bvalid_i_reg : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); b_push : in STD_LOGIC; shandshake_r : in STD_LOGIC; areset_d1 : in STD_LOGIC; - Q : in STD_LOGIC_VECTOR ( 7 downto 0 ); mhandshake_r : in STD_LOGIC; - si_rs_bready : in STD_LOGIC; - si_rs_bvalid : in STD_LOGIC; - \cnt_read_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \in\ : in STD_LOGIC_VECTOR ( 15 downto 0 ); + Q : in STD_LOGIC_VECTOR ( 7 downto 0 ); + \in\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; @@ -1581,7 +1537,6 @@ end system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo; architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo is signal \^bresp_push\ : STD_LOGIC; - signal bvalid_i_i_2_n_0 : STD_LOGIC; signal cnt_read : STD_LOGIC_VECTOR ( 1 to 1 ); signal \cnt_read[0]_i_1_n_0\ : STD_LOGIC; signal cnt_read_0 : STD_LOGIC_VECTOR ( 1 downto 0 ); @@ -1593,7 +1548,6 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal \memory_reg[3][0]_srl4_i_2__0_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_4_n_0\ : STD_LOGIC; - signal \memory_reg[3][0]_srl4_i_5_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC; @@ -1603,10 +1557,8 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal \memory_reg[3][6]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][7]_srl4_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \bresp_cnt[7]_i_1\ : label is "soft_lutpair108"; - attribute SOFT_HLUTNM of bvalid_i_i_1 : label is "soft_lutpair108"; - attribute SOFT_HLUTNM of \cnt_read[0]_i_1\ : label is "soft_lutpair107"; - attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair107"; + attribute SOFT_HLUTNM of \cnt_read[0]_i_1\ : label is "soft_lutpair112"; + attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair112"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; @@ -1678,30 +1630,6 @@ begin I1 => \^bresp_push\, O => SR(0) ); -bvalid_i_i_1: unisim.vcomponents.LUT4 - generic map( - INIT => X"002A" - ) - port map ( - I0 => bvalid_i_i_2_n_0, - I1 => si_rs_bready, - I2 => si_rs_bvalid, - I3 => areset_d1, - O => bvalid_i_reg - ); -bvalid_i_i_2: unisim.vcomponents.LUT6 - generic map( - INIT => X"FFFFFFFF00070707" - ) - port map ( - I0 => \^cnt_read_reg[0]_rep__0_0\, - I1 => \^cnt_read_reg[1]_rep__1_0\, - I2 => shandshake_r, - I3 => \cnt_read_reg[1]_0\(1), - I4 => \cnt_read_reg[1]_0\(0), - I5 => si_rs_bvalid, - O => bvalid_i_i_2_n_0 - ); \cnt_read[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" @@ -1795,68 +1723,54 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 ); \memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000000000000090" + INIT => X"0000000700000000" ) port map ( - I0 => Q(7), - I1 => \memory_reg[3][7]_srl4_n_0\, + I0 => \^cnt_read_reg[1]_rep__1_0\, + I1 => \^cnt_read_reg[0]_rep__0_0\, I2 => \memory_reg[3][0]_srl4_i_2__0_n_0\, I3 => \memory_reg[3][0]_srl4_i_3_n_0\, I4 => \memory_reg[3][0]_srl4_i_4_n_0\, - I5 => \memory_reg[3][0]_srl4_i_5_n_0\, + I5 => mhandshake_r, O => \^bresp_push\ ); -\memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT5 +\memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"82820082" + INIT => X"6FF6FFFFFFFF6FF6" ) port map ( - I0 => mhandshake_r, - I1 => \memory_reg[3][6]_srl4_n_0\, - I2 => Q(6), - I3 => \memory_reg[3][5]_srl4_n_0\, - I4 => Q(5), + I0 => \memory_reg[3][0]_srl4_n_0\, + I1 => Q(0), + I2 => Q(2), + I3 => \memory_reg[3][2]_srl4_n_0\, + I4 => Q(1), + I5 => \memory_reg[3][1]_srl4_n_0\, O => \memory_reg[3][0]_srl4_i_2__0_n_0\ ); \memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"2FF22FF2FFFF2FF2" + INIT => X"6FF6FFFFFFFF6FF6" ) port map ( - I0 => \memory_reg[3][1]_srl4_n_0\, - I1 => Q(1), - I2 => \memory_reg[3][2]_srl4_n_0\, - I3 => Q(2), - I4 => Q(4), - I5 => \memory_reg[3][4]_srl4_n_0\, + I0 => \memory_reg[3][3]_srl4_n_0\, + I1 => Q(3), + I2 => Q(4), + I3 => \memory_reg[3][4]_srl4_n_0\, + I4 => Q(5), + I5 => \memory_reg[3][5]_srl4_n_0\, O => \memory_reg[3][0]_srl4_i_3_n_0\ ); -\memory_reg[3][0]_srl4_i_4\: unisim.vcomponents.LUT6 +\memory_reg[3][0]_srl4_i_4\: unisim.vcomponents.LUT4 generic map( - INIT => X"F222FFFFFFFFF222" + INIT => X"6FF6" ) port map ( - I0 => Q(1), - I1 => \memory_reg[3][1]_srl4_n_0\, - I2 => \^cnt_read_reg[0]_rep__0_0\, - I3 => \^cnt_read_reg[1]_rep__1_0\, - I4 => \memory_reg[3][0]_srl4_n_0\, - I5 => Q(0), + I0 => \memory_reg[3][7]_srl4_n_0\, + I1 => Q(7), + I2 => \memory_reg[3][6]_srl4_n_0\, + I3 => Q(6), O => \memory_reg[3][0]_srl4_i_4_n_0\ ); -\memory_reg[3][0]_srl4_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"2FF22FF2FFFF2FF2" - ) - port map ( - I0 => Q(5), - I1 => \memory_reg[3][5]_srl4_n_0\, - I2 => Q(3), - I3 => \memory_reg[3][3]_srl4_n_0\, - I4 => \memory_reg[3][4]_srl4_n_0\, - I5 => Q(4), - O => \memory_reg[3][0]_srl4_i_5_n_0\ - ); \memory_reg[3][10]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" @@ -1868,7 +1782,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(6), + D => \in\(10), Q => \out\(2) ); \memory_reg[3][11]_srl4\: unisim.vcomponents.SRL16E @@ -1882,7 +1796,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(7), + D => \in\(11), Q => \out\(3) ); \memory_reg[3][12]_srl4\: unisim.vcomponents.SRL16E @@ -1896,7 +1810,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(8), + D => \in\(12), Q => \out\(4) ); \memory_reg[3][13]_srl4\: unisim.vcomponents.SRL16E @@ -1910,7 +1824,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(9), + D => \in\(13), Q => \out\(5) ); \memory_reg[3][14]_srl4\: unisim.vcomponents.SRL16E @@ -1924,7 +1838,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(10), + D => \in\(14), Q => \out\(6) ); \memory_reg[3][15]_srl4\: unisim.vcomponents.SRL16E @@ -1938,7 +1852,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(11), + D => \in\(15), Q => \out\(7) ); \memory_reg[3][16]_srl4\: unisim.vcomponents.SRL16E @@ -1952,7 +1866,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(12), + D => \in\(16), Q => \out\(8) ); \memory_reg[3][17]_srl4\: unisim.vcomponents.SRL16E @@ -1966,7 +1880,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(13), + D => \in\(17), Q => \out\(9) ); \memory_reg[3][18]_srl4\: unisim.vcomponents.SRL16E @@ -1980,7 +1894,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(14), + D => \in\(18), Q => \out\(10) ); \memory_reg[3][19]_srl4\: unisim.vcomponents.SRL16E @@ -1994,7 +1908,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(15), + D => \in\(19), Q => \out\(11) ); \memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E @@ -2050,7 +1964,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => '0', + D => \in\(4), Q => \memory_reg[3][4]_srl4_n_0\ ); \memory_reg[3][5]_srl4\: unisim.vcomponents.SRL16E @@ -2064,7 +1978,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => '0', + D => \in\(5), Q => \memory_reg[3][5]_srl4_n_0\ ); \memory_reg[3][6]_srl4\: unisim.vcomponents.SRL16E @@ -2078,7 +1992,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => '0', + D => \in\(6), Q => \memory_reg[3][6]_srl4_n_0\ ); \memory_reg[3][7]_srl4\: unisim.vcomponents.SRL16E @@ -2092,7 +2006,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => '0', + D => \in\(7), Q => \memory_reg[3][7]_srl4_n_0\ ); \memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E @@ -2106,7 +2020,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(4), + D => \in\(8), Q => \out\(0) ); \memory_reg[3][9]_srl4\: unisim.vcomponents.SRL16E @@ -2120,7 +2034,7 @@ bvalid_i_i_2: unisim.vcomponents.LUT6 A3 => '0', CE => b_push, CLK => aclk, - D => \in\(5), + D => \in\(9), Q => \out\(1) ); \state[0]_i_2\: unisim.vcomponents.LUT2 @@ -2139,48 +2053,76 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized0\ is port ( - Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); mhandshake : out STD_LOGIC; m_axi_bready : out STD_LOGIC; + bvalid_i_reg : out STD_LOGIC; \skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); bresp_push : in STD_LOGIC; shandshake_r : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; mhandshake_r : in STD_LOGIC; + si_rs_bready : in STD_LOGIC; + si_rs_bvalid : in STD_LOGIC; + areset_d1 : in STD_LOGIC; + \cnt_read_reg[0]_rep__0\ : in STD_LOGIC; + \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - aclk : in STD_LOGIC; - areset_d1 : in STD_LOGIC + aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_9_b2s_simple_fifo"; end \system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized0\; architecture STRUCTURE of \system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized0\ is - signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal bvalid_i_i_2_n_0 : STD_LOGIC; + signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \cnt_read[0]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \cnt_read[0]_i_1__0\ : label is "soft_lutpair110"; - attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair110"; + attribute SOFT_HLUTNM of \cnt_read[0]_i_1__0\ : label is "soft_lutpair113"; + attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair113"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; - attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair109"; + attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair114"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] "; attribute srl_name : string; attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 "; attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 "; - attribute SOFT_HLUTNM of mhandshake_r_i_1 : label is "soft_lutpair109"; + attribute SOFT_HLUTNM of mhandshake_r_i_1 : label is "soft_lutpair114"; begin - Q(1 downto 0) <= \^q\(1 downto 0); +bvalid_i_i_1: unisim.vcomponents.LUT4 + generic map( + INIT => X"002A" + ) + port map ( + I0 => bvalid_i_i_2_n_0, + I1 => si_rs_bready, + I2 => si_rs_bvalid, + I3 => areset_d1, + O => bvalid_i_reg + ); +bvalid_i_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFFFFF00151515" + ) + port map ( + I0 => shandshake_r, + I1 => cnt_read(1), + I2 => cnt_read(0), + I3 => \cnt_read_reg[0]_rep__0\, + I4 => \cnt_read_reg[1]_rep__1\, + I5 => si_rs_bvalid, + O => bvalid_i_i_2_n_0 + ); \cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( - I0 => \^q\(0), + I0 => cnt_read(0), I1 => bresp_push, I2 => shandshake_r, O => \cnt_read[0]_i_1__0_n_0\ @@ -2190,10 +2132,10 @@ begin INIT => X"E718" ) port map ( - I0 => \^q\(0), + I0 => cnt_read(0), I1 => bresp_push, I2 => shandshake_r, - I3 => \^q\(1), + I3 => cnt_read(1), O => \cnt_read[1]_i_1__0_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE @@ -2201,7 +2143,7 @@ begin C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, - Q => \^q\(0), + Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE @@ -2209,7 +2151,7 @@ begin C => aclk, CE => '1', D => \cnt_read[1]_i_1__0_n_0\, - Q => \^q\(1), + Q => cnt_read(1), S => areset_d1 ); m_axi_bready_INST_0: unisim.vcomponents.LUT3 @@ -2217,8 +2159,8 @@ m_axi_bready_INST_0: unisim.vcomponents.LUT3 INIT => X"08" ) port map ( - I0 => \^q\(1), - I1 => \^q\(0), + I0 => cnt_read(1), + I1 => cnt_read(0), I2 => mhandshake_r, O => m_axi_bready ); @@ -2227,8 +2169,8 @@ m_axi_bready_INST_0: unisim.vcomponents.LUT3 INIT => X"0000" ) port map ( - A0 => \^q\(0), - A1 => \^q\(1), + A0 => cnt_read(0), + A1 => cnt_read(1), A2 => '0', A3 => '0', CE => bresp_push, @@ -2241,8 +2183,8 @@ m_axi_bready_INST_0: unisim.vcomponents.LUT3 INIT => X"0000" ) port map ( - A0 => \^q\(0), - A1 => \^q\(1), + A0 => cnt_read(0), + A1 => cnt_read(1), A2 => '0', A3 => '0', CE => bresp_push, @@ -2257,8 +2199,8 @@ mhandshake_r_i_1: unisim.vcomponents.LUT4 port map ( I0 => m_axi_bvalid, I1 => mhandshake_r, - I2 => \^q\(0), - I3 => \^q\(1), + I2 => cnt_read(0), + I3 => cnt_read(1), O => mhandshake ); end STRUCTURE; @@ -2268,10 +2210,10 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized1\ is port ( - \cnt_read_reg[1]_rep__2_0\ : out STD_LOGIC; + \cnt_read_reg[1]_rep__3_0\ : out STD_LOGIC; m_valid_i_reg : out STD_LOGIC; m_axi_rready : out STD_LOGIC; - \state_reg[1]_rep\ : out STD_LOGIC; + \state_reg[0]_rep\ : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); si_rs_rready : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; @@ -2290,6 +2232,7 @@ architecture STRUCTURE of \system_design_auto_pc_2_axi_protocol_converter_v2_1_9 signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC; signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC; + signal \cnt_read[3]_i_2_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC; @@ -2300,8 +2243,9 @@ architecture STRUCTURE of \system_design_auto_pc_2_axi_protocol_converter_v2_1_9 signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC; - signal \^cnt_read_reg[1]_rep__2_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC; + signal \^cnt_read_reg[1]_rep__3_0\ : STD_LOGIC; + signal \cnt_read_reg[1]_rep__3_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC; @@ -2352,10 +2296,10 @@ architecture STRUCTURE of \system_design_auto_pc_2_axi_protocol_converter_v2_1_9 signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \cnt_read[3]_i_2\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \cnt_read[4]_i_3\ : label is "soft_lutpair10"; + attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \cnt_read[3]_i_3\ : label is "soft_lutpair9"; + attribute SOFT_HLUTNM of \cnt_read[4]_i_3\ : label is "soft_lutpair9"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; @@ -2380,6 +2324,8 @@ architecture STRUCTURE of \system_design_auto_pc_2_axi_protocol_converter_v2_1_9 attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]"; attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]"; + attribute KEEP of \cnt_read_reg[1]_rep__3\ : label is "yes"; + attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__3\ : label is "cnt_read_reg[1]"; attribute KEEP of \cnt_read_reg[2]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]"; attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes"; @@ -2481,62 +2427,75 @@ architecture STRUCTURE of \system_design_auto_pc_2_axi_protocol_converter_v2_1_9 attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 "; begin - \cnt_read_reg[1]_rep__2_0\ <= \^cnt_read_reg[1]_rep__2_0\; + \cnt_read_reg[1]_rep__3_0\ <= \^cnt_read_reg[1]_rep__3_0\; m_valid_i_reg <= \^m_valid_i_reg\; \cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( - INIT => X"69" + INIT => X"96" ) port map ( - I0 => \cnt_read_reg[0]_rep__3_n_0\, - I1 => \^cnt_read_reg[1]_rep__2_0\, - I2 => wr_en0, + I0 => \cnt_read_reg[0]_rep__2_n_0\, + I1 => \^cnt_read_reg[1]_rep__3_0\, + I2 => \cnt_read[3]_i_2_n_0\, O => \cnt_read[0]_i_1__1_n_0\ ); \cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4 generic map( - INIT => X"7E81" + INIT => X"E718" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, - I1 => \^cnt_read_reg[1]_rep__2_0\, - I2 => wr_en0, + I1 => \^cnt_read_reg[1]_rep__3_0\, + I2 => \cnt_read[3]_i_2_n_0\, I3 => \cnt_read_reg[1]_rep__2_n_0\, O => \cnt_read[1]_i_1__1_n_0\ ); \cnt_read[2]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"7FFE8001" + INIT => X"FE7F0180" ) port map ( I0 => \cnt_read_reg[1]_rep__2_n_0\, I1 => \cnt_read_reg[0]_rep__2_n_0\, - I2 => \^cnt_read_reg[1]_rep__2_0\, - I3 => wr_en0, + I2 => \^cnt_read_reg[1]_rep__3_0\, + I3 => \cnt_read[3]_i_2_n_0\, I4 => \cnt_read_reg[2]_rep__2_n_0\, O => \cnt_read[2]_i_1_n_0\ ); \cnt_read[3]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"7FFFFFFE80000001" + INIT => X"DFFFFFFB20000004" ) port map ( I0 => \cnt_read_reg[1]_rep__2_n_0\, - I1 => wr_en0, - I2 => \^cnt_read_reg[1]_rep__2_0\, + I1 => \cnt_read[3]_i_2_n_0\, + I2 => \^cnt_read_reg[1]_rep__3_0\, I3 => \cnt_read_reg[0]_rep__2_n_0\, I4 => \cnt_read_reg[2]_rep__2_n_0\, I5 => \cnt_read_reg[3]_rep__2_n_0\, O => \cnt_read[3]_i_1_n_0\ ); -\cnt_read[3]_i_2\: unisim.vcomponents.LUT2 +\cnt_read[3]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"08808880FFFFFFFF" + ) + port map ( + I0 => \cnt_read_reg[4]_rep__2_n_0\, + I1 => \cnt_read_reg[3]_rep__2_n_0\, + I2 => \cnt_read_reg[1]_rep__3_n_0\, + I3 => \cnt_read_reg[2]_rep__2_n_0\, + I4 => \cnt_read_reg[0]_rep__3_n_0\, + I5 => m_axi_rvalid, + O => \cnt_read[3]_i_2_n_0\ + ); +\cnt_read[3]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^m_valid_i_reg\, I1 => si_rs_rready, - O => \^cnt_read_reg[1]_rep__2_0\ + O => \^cnt_read_reg[1]_rep__3_0\ ); \cnt_read[4]_i_1\: unisim.vcomponents.LUT5 generic map( @@ -2552,25 +2511,25 @@ begin ); \cnt_read[4]_i_2\: unisim.vcomponents.LUT5 generic map( - INIT => X"75FFFFFF" + INIT => X"FFFF7F77" ) port map ( - I0 => \cnt_read_reg[1]_rep__2_n_0\, - I1 => \^m_valid_i_reg\, - I2 => si_rs_rready, - I3 => wr_en0, - I4 => \cnt_read_reg[0]_rep__2_n_0\, + I0 => \cnt_read_reg[1]_rep__3_n_0\, + I1 => \cnt_read_reg[0]_rep__3_n_0\, + I2 => \^m_valid_i_reg\, + I3 => si_rs_rready, + I4 => \cnt_read[3]_i_2_n_0\, O => \cnt_read[4]_i_2_n_0\ ); \cnt_read[4]_i_3\: unisim.vcomponents.LUT5 generic map( - INIT => X"00000010" + INIT => X"00000400" ) port map ( - I0 => \cnt_read_reg[0]_rep__3_n_0\, - I1 => \^m_valid_i_reg\, - I2 => si_rs_rready, - I3 => wr_en0, + I0 => \cnt_read_reg[0]_rep__2_n_0\, + I1 => si_rs_rready, + I2 => \^m_valid_i_reg\, + I3 => \cnt_read[3]_i_2_n_0\, I4 => \cnt_read_reg[1]_rep__2_n_0\, O => \cnt_read[4]_i_3_n_0\ ); @@ -2662,6 +2621,14 @@ begin Q => \cnt_read_reg[1]_rep__2_n_0\, S => areset_d1 ); +\cnt_read_reg[1]_rep__3\: unisim.vcomponents.FDSE + port map ( + C => aclk, + CE => '1', + D => \cnt_read[1]_i_1__1_n_0\, + Q => \cnt_read_reg[1]_rep__3_n_0\, + S => areset_d1 + ); \cnt_read_reg[2]\: unisim.vcomponents.FDSE port map ( C => aclk, @@ -2801,9 +2768,9 @@ m_valid_i_i_2: unisim.vcomponents.LUT6 port map ( I0 => \cnt_read_reg[3]_rep__2_n_0\, I1 => \cnt_read_reg[4]_rep__2_n_0\, - I2 => \cnt_read_reg[0]_rep__3_n_0\, - I3 => \cnt_read_reg[2]_rep__2_n_0\, - I4 => \cnt_read_reg[1]_rep__2_n_0\, + I2 => \cnt_read_reg[1]_rep__3_n_0\, + I3 => \cnt_read_reg[0]_rep__3_n_0\, + I4 => \cnt_read_reg[2]_rep__2_n_0\, I5 => \cnt_read_reg[2]_rep__0_0\, O => \^m_valid_i_reg\ ); @@ -2825,15 +2792,15 @@ m_valid_i_i_2: unisim.vcomponents.LUT6 ); \memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"AA2A2AAA2A2A2AAA" + INIT => X"800AAAAAAAAAAAAA" ) port map ( I0 => m_axi_rvalid, - I1 => \cnt_read_reg[4]_rep__2_n_0\, - I2 => \cnt_read_reg[3]_rep__2_n_0\, - I3 => \cnt_read_reg[1]_rep__2_n_0\, - I4 => \cnt_read_reg[2]_rep__2_n_0\, - I5 => \cnt_read_reg[0]_rep__3_n_0\, + I1 => \cnt_read_reg[0]_rep__3_n_0\, + I2 => \cnt_read_reg[2]_rep__2_n_0\, + I3 => \cnt_read_reg[1]_rep__3_n_0\, + I4 => \cnt_read_reg[3]_rep__2_n_0\, + I5 => \cnt_read_reg[4]_rep__2_n_0\, O => wr_en0 ); \memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E @@ -3335,10 +3302,10 @@ m_valid_i_i_2: unisim.vcomponents.LUT6 port map ( I0 => \cnt_read_reg[0]_rep__3_n_0\, I1 => \cnt_read_reg[2]_rep__2_n_0\, - I2 => \cnt_read_reg[1]_rep__2_n_0\, + I2 => \cnt_read_reg[1]_rep__3_n_0\, I3 => \cnt_read_reg[3]_rep__2_n_0\, I4 => \cnt_read_reg[4]_rep__2_n_0\, - O => \state_reg[1]_rep\ + O => \state_reg[0]_rep\ ); end STRUCTURE; library IEEE; @@ -3347,7 +3314,7 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized2\ is port ( - \state_reg[1]_rep\ : out STD_LOGIC; + \state_reg[0]_rep\ : out STD_LOGIC; m_valid_i_reg : out STD_LOGIC; \skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); s_ready_i_reg : in STD_LOGIC; @@ -3397,8 +3364,8 @@ architecture STRUCTURE of \system_design_auto_pc_2_axi_protocol_converter_v2_1_9 signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair10"; + attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair10"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; @@ -3875,7 +3842,7 @@ m_valid_i_i_3: unisim.vcomponents.LUT5 I3 => \cnt_read_reg[2]_rep__0_n_0\, I4 => \cnt_read_reg[4]_rep__0_n_0\, I5 => \cnt_read_reg[3]_rep__0_n_0\, - O => \state_reg[1]_rep\ + O => \state_reg[0]_rep\ ); end STRUCTURE; library IEEE; @@ -3884,46 +3851,44 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm is port ( + D : out STD_LOGIC_VECTOR ( 2 downto 0 ); + \axaddr_offset_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axlen_cnt_reg[7]\ : out STD_LOGIC; - \axlen_cnt_reg[7]_0\ : out STD_LOGIC; - \axlen_cnt_reg[7]_1\ : out STD_LOGIC; - \axlen_cnt_reg[7]_2\ : out STD_LOGIC; + \state_reg[1]_rep_0\ : out STD_LOGIC; + \state_reg[1]_rep_1\ : out STD_LOGIC; + \axlen_cnt_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axburst_eq0_reg : out STD_LOGIC; - wrap_next_pending : out STD_LOGIC; sel_first_i : out STD_LOGIC; incr_next_pending : out STD_LOGIC; s_axburst_eq1_reg : out STD_LOGIC; - next_pending_r_reg : out STD_LOGIC; - \axlen_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axaddr_offset_r_reg[0]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); - D : out STD_LOGIC_VECTOR ( 0 to 0 ); - \wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \next\ : out STD_LOGIC; \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - axaddr_offset : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axaddr_incr_reg[11]\ : out STD_LOGIC; - \m_payload_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \axlen_cnt_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \m_payload_i_reg[0]\ : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; + \axaddr_incr_reg[11]\ : out STD_LOGIC; + \m_payload_i_reg[0]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); sel_first_reg : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; + \axaddr_offset_r_reg[3]\ : in STD_LOGIC; si_rs_awvalid : in STD_LOGIC; + \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \m_payload_i_reg[44]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \axlen_cnt_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \axlen_cnt_reg[6]\ : in STD_LOGIC; - Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); - next_pending_r_reg_0 : in STD_LOGIC; - \m_payload_i_reg[44]\ : in STD_LOGIC; - \state_reg[1]_0\ : in STD_LOGIC; - next_pending_r_reg_1 : in STD_LOGIC; - \axlen_cnt_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + wrap_next_pending : in STD_LOGIC; + \m_payload_i_reg[48]\ : in STD_LOGIC; + next_pending_r_reg : in STD_LOGIC; \axaddr_offset_r_reg[1]\ : in STD_LOGIC; - \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + axaddr_offset : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC; - \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \axaddr_offset_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[3]\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; - \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; s_axburst_eq1_reg_0 : in STD_LOGIC; + \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; m_axi_awready : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; \cnt_read_reg[1]_rep__1_0\ : in STD_LOGIC; @@ -3937,27 +3902,23 @@ end system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm; architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \^axlen_cnt_reg[7]\ : STD_LOGIC; - signal \^axlen_cnt_reg[7]_0\ : STD_LOGIC; - signal \^axlen_cnt_reg[7]_2\ : STD_LOGIC; + signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^incr_next_pending\ : STD_LOGIC; - signal \^next_pending_r_reg\ : STD_LOGIC; + signal \^m_payload_i_reg[0]\ : STD_LOGIC; + signal \^next\ : STD_LOGIC; signal next_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^sel_first_i\ : STD_LOGIC; + signal \^state_reg[1]_rep_0\ : STD_LOGIC; + signal \^state_reg[1]_rep_1\ : STD_LOGIC; signal \wrap_cnt_r[3]_i_2_n_0\ : STD_LOGIC; - signal \^wrap_next_pending\ : STD_LOGIC; - signal \wrap_second_len_r[0]_i_2_n_0\ : STD_LOGIC; signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair103"; - attribute SOFT_HLUTNM of \axlen_cnt[3]_i_1\ : label is "soft_lutpair104"; - attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair101"; - attribute SOFT_HLUTNM of m_axi_awvalid_INST_0 : label is "soft_lutpair103"; - attribute SOFT_HLUTNM of \m_payload_i[31]_i_1\ : label is "soft_lutpair104"; - attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair102"; - attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair102"; + attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair106"; + attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair106"; + attribute SOFT_HLUTNM of m_axi_awvalid_INST_0 : label is "soft_lutpair108"; + attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair107"; + attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair107"; attribute KEEP : string; attribute KEEP of \state_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; @@ -3968,18 +3929,17 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]"; attribute KEEP of \state_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]"; - attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair101"; + attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair108"; begin E(0) <= \^e\(0); - axaddr_offset(0) <= \^axaddr_offset\(0); - \axaddr_offset_r_reg[0]\(1 downto 0) <= \^axaddr_offset_r_reg[0]\(1 downto 0); - \axlen_cnt_reg[7]\ <= \^axlen_cnt_reg[7]\; - \axlen_cnt_reg[7]_0\ <= \^axlen_cnt_reg[7]_0\; - \axlen_cnt_reg[7]_2\ <= \^axlen_cnt_reg[7]_2\; + Q(1 downto 0) <= \^q\(1 downto 0); + \axaddr_offset_r_reg[0]\(0) <= \^axaddr_offset_r_reg[0]\(0); incr_next_pending <= \^incr_next_pending\; - next_pending_r_reg <= \^next_pending_r_reg\; + \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; + \next\ <= \^next\; sel_first_i <= \^sel_first_i\; - wrap_next_pending <= \^wrap_next_pending\; + \state_reg[1]_rep_0\ <= \^state_reg[1]_rep_0\; + \state_reg[1]_rep_1\ <= \^state_reg[1]_rep_1\; \wrap_second_len_r_reg[3]\(3 downto 0) <= \^wrap_second_len_r_reg[3]\(3 downto 0); \axaddr_incr[0]_i_1\: unisim.vcomponents.LUT4 generic map( @@ -3987,9 +3947,9 @@ begin ) port map ( I0 => sel_first_reg_2, - I1 => \^axlen_cnt_reg[7]_2\, - I2 => \^axlen_cnt_reg[7]\, - I3 => \^axlen_cnt_reg[7]_0\, + I1 => \^m_payload_i_reg[0]\, + I2 => \^state_reg[1]_rep_0\, + I3 => \^state_reg[1]_rep_1\, O => \axaddr_incr_reg[11]\ ); \axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT6 @@ -3997,57 +3957,45 @@ begin INIT => X"AAAAACAAAAAAA0AA" ) port map ( - I0 => \axaddr_offset_r_reg[3]\(0), - I1 => Q(1), - I2 => \^axaddr_offset_r_reg[0]\(1), + I0 => \axaddr_offset_r_reg[0]_0\(0), + I1 => \m_payload_i_reg[44]\(1), + I2 => \^q\(1), I3 => si_rs_awvalid, - I4 => \^axaddr_offset_r_reg[0]\(0), + I4 => \^q\(0), I5 => \m_payload_i_reg[3]\, - O => \^axaddr_offset\(0) + O => \^axaddr_offset_r_reg[0]\(0) ); \axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0400FFFF04000400" ) port map ( - I0 => \^axaddr_offset_r_reg[0]\(0), + I0 => \^q\(0), I1 => si_rs_awvalid, - I2 => \^axaddr_offset_r_reg[0]\(1), - I3 => Q(1), - I4 => \axlen_cnt_reg[0]\(0), + I2 => \^q\(1), + I3 => \m_payload_i_reg[44]\(1), + I4 => \axlen_cnt_reg[0]_0\(0), I5 => \axlen_cnt_reg[6]\, - O => D(0) + O => \axlen_cnt_reg[0]\(0) ); -\axlen_cnt[3]_i_1\: unisim.vcomponents.LUT4 +\axlen_cnt[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCFE" ) port map ( I0 => si_rs_awvalid, - I1 => \^axlen_cnt_reg[7]_2\, - I2 => \^axaddr_offset_r_reg[0]\(1), - I3 => \^axaddr_offset_r_reg[0]\(0), - O => \axlen_cnt_reg[3]\(0) - ); -\axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"0000CCFE" - ) - port map ( - I0 => si_rs_awvalid, - I1 => \^axlen_cnt_reg[7]_2\, - I2 => \^axlen_cnt_reg[7]\, - I3 => \^axlen_cnt_reg[7]_0\, - I4 => \axlen_cnt_reg[6]\, - O => \axlen_cnt_reg[7]_1\ + I1 => \^m_payload_i_reg[0]\, + I2 => \^state_reg[1]_rep_0\, + I3 => \^state_reg[1]_rep_1\, + O => \axlen_cnt_reg[3]\(0) ); m_axi_awvalid_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( - I0 => \^axlen_cnt_reg[7]_0\, - I1 => \^axlen_cnt_reg[7]\, + I0 => \^state_reg[1]_rep_1\, + I1 => \^state_reg[1]_rep_0\, O => m_axi_awvalid ); \m_payload_i[31]_i_1\: unisim.vcomponents.LUT2 @@ -4055,67 +4003,55 @@ m_axi_awvalid_INST_0: unisim.vcomponents.LUT2 INIT => X"B" ) port map ( - I0 => \^axlen_cnt_reg[7]_2\, + I0 => \^m_payload_i_reg[0]\, I1 => si_rs_awvalid, - O => \m_payload_i_reg[0]\(0) + O => \m_payload_i_reg[0]_0\(0) ); \memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"A000A0A0A800A8A8" + INIT => X"CFCF000045000000" ) port map ( - I0 => \^axlen_cnt_reg[7]_0\, - I1 => m_axi_awready, - I2 => \^axlen_cnt_reg[7]\, - I3 => \cnt_read_reg[0]_rep__0\, - I4 => \cnt_read_reg[1]_rep__1_0\, - I5 => s_axburst_eq1_reg_0, - O => \^axlen_cnt_reg[7]_2\ + I0 => s_axburst_eq1_reg_0, + I1 => \cnt_read_reg[0]_rep__0\, + I2 => \cnt_read_reg[1]_rep__1_0\, + I3 => m_axi_awready, + I4 => \^state_reg[1]_rep_1\, + I5 => \^state_reg[1]_rep_0\, + O => \^m_payload_i_reg[0]\ ); next_pending_r_i_1: unisim.vcomponents.LUT5 generic map( - INIT => X"FFFF44F0" + INIT => X"B8BBB888" ) port map ( - I0 => \^e\(0), - I1 => next_pending_r_reg_0, + I0 => \m_payload_i_reg[48]\, + I1 => \^e\(0), I2 => \axlen_cnt_reg[6]\, - I3 => \^next_pending_r_reg\, - I4 => \m_payload_i_reg[44]\, + I3 => \^next\, + I4 => next_pending_r_reg, O => \^incr_next_pending\ ); -\next_pending_r_i_1__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"FFAEBBAA" - ) - port map ( - I0 => \m_payload_i_reg[44]\, - I1 => \^next_pending_r_reg\, - I2 => \^e\(0), - I3 => \state_reg[1]_0\, - I4 => next_pending_r_reg_1, - O => \^wrap_next_pending\ - ); -next_pending_r_i_3: unisim.vcomponents.LUT6 +next_pending_r_i_4: unisim.vcomponents.LUT6 generic map( - INIT => X"0CAE0CFF00FF00FF" + INIT => X"AAAA22AAEAEA22EA" ) port map ( - I0 => s_axburst_eq1_reg_0, - I1 => \cnt_read_reg[1]_rep__1_0\, - I2 => \cnt_read_reg[0]_rep__0\, - I3 => \^axlen_cnt_reg[7]\, - I4 => m_axi_awready, - I5 => \^axlen_cnt_reg[7]_0\, - O => \^next_pending_r_reg\ + I0 => \^state_reg[1]_rep_0\, + I1 => \^state_reg[1]_rep_1\, + I2 => m_axi_awready, + I3 => \cnt_read_reg[1]_rep__1_0\, + I4 => \cnt_read_reg[0]_rep__0\, + I5 => s_axburst_eq1_reg_0, + O => \^next\ ); s_axburst_eq0_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( - I0 => \^wrap_next_pending\, - I1 => Q(0), + I0 => wrap_next_pending, + I1 => \m_payload_i_reg[44]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq0_reg @@ -4125,8 +4061,8 @@ s_axburst_eq1_i_1: unisim.vcomponents.LUT4 INIT => X"ABA8" ) port map ( - I0 => \^wrap_next_pending\, - I1 => Q(0), + I0 => wrap_next_pending, + I1 => \m_payload_i_reg[44]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq1_reg @@ -4138,9 +4074,9 @@ sel_first_i_1: unisim.vcomponents.LUT6 port map ( I0 => si_rs_awvalid, I1 => areset_d1, - I2 => \^axlen_cnt_reg[7]_0\, - I3 => \^axlen_cnt_reg[7]\, - I4 => \^axlen_cnt_reg[7]_2\, + I2 => \^state_reg[1]_rep_1\, + I3 => \^state_reg[1]_rep_0\, + I4 => \^m_payload_i_reg[0]\, I5 => sel_first_reg_1, O => \^sel_first_i\ ); @@ -4149,11 +4085,11 @@ sel_first_i_1: unisim.vcomponents.LUT6 INIT => X"FFFFFFFF44440F04" ) port map ( - I0 => \^axlen_cnt_reg[7]_2\, + I0 => \^m_payload_i_reg[0]\, I1 => sel_first_reg_2, - I2 => \^axaddr_offset_r_reg[0]\(1), + I2 => \^q\(1), I3 => si_rs_awvalid, - I4 => \^axaddr_offset_r_reg[0]\(0), + I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg ); @@ -4162,38 +4098,38 @@ sel_first_i_1: unisim.vcomponents.LUT6 INIT => X"FFFFFFFF44440F04" ) port map ( - I0 => \^axlen_cnt_reg[7]_2\, + I0 => \^m_payload_i_reg[0]\, I1 => \sel_first__0\, - I2 => \^axaddr_offset_r_reg[0]\(1), + I2 => \^q\(1), I3 => si_rs_awvalid, - I4 => \^axaddr_offset_r_reg[0]\(0), + I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg_0 ); \state[0]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"F232FE32FE3EFE3E" + INIT => X"AEFE0E0EFEFE5E5E" ) port map ( - I0 => si_rs_awvalid, - I1 => \^axlen_cnt_reg[7]_0\, - I2 => \^axlen_cnt_reg[7]\, - I3 => \cnt_read_reg[1]_rep__1\, - I4 => s_axburst_eq1_reg_0, + I0 => \^state_reg[1]_rep_0\, + I1 => si_rs_awvalid, + I2 => \^state_reg[1]_rep_1\, + I3 => s_axburst_eq1_reg_0, + I4 => \cnt_read_reg[1]_rep__1\, I5 => m_axi_awready, O => next_state(0) ); \state[1]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"20E0202000E00000" + INIT => X"2E220E0000000000" ) port map ( I0 => m_axi_awready, - I1 => \^axlen_cnt_reg[7]\, - I2 => \^axlen_cnt_reg[7]_0\, - I3 => \cnt_read_reg[0]_rep__0\, - I4 => \cnt_read_reg[1]_rep__1_0\, - I5 => s_axburst_eq1_reg_0, + I1 => \^state_reg[1]_rep_0\, + I2 => \cnt_read_reg[0]_rep__0\, + I3 => \cnt_read_reg[1]_rep__1_0\, + I4 => s_axburst_eq1_reg_0, + I5 => \^state_reg[1]_rep_1\, O => next_state(1) ); \state_reg[0]\: unisim.vcomponents.FDRE @@ -4201,7 +4137,7 @@ sel_first_i_1: unisim.vcomponents.LUT6 C => aclk, CE => '1', D => next_state(0), - Q => \^axaddr_offset_r_reg[0]\(0), + Q => \^q\(0), R => areset_d1 ); \state_reg[0]_rep\: unisim.vcomponents.FDRE @@ -4209,7 +4145,7 @@ sel_first_i_1: unisim.vcomponents.LUT6 C => aclk, CE => '1', D => next_state(0), - Q => \^axlen_cnt_reg[7]_0\, + Q => \^state_reg[1]_rep_1\, R => areset_d1 ); \state_reg[1]\: unisim.vcomponents.FDRE @@ -4217,7 +4153,7 @@ sel_first_i_1: unisim.vcomponents.LUT6 C => aclk, CE => '1', D => next_state(1), - Q => \^axaddr_offset_r_reg[0]\(1), + Q => \^q\(1), R => areset_d1 ); \state_reg[1]_rep\: unisim.vcomponents.FDRE @@ -4225,56 +4161,44 @@ sel_first_i_1: unisim.vcomponents.LUT6 C => aclk, CE => '1', D => next_state(1), - Q => \^axlen_cnt_reg[7]\, + Q => \^state_reg[1]_rep_0\, R => areset_d1 ); -\wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3 +\wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( - I0 => \^axlen_cnt_reg[7]\, + I0 => \^state_reg[1]_rep_0\, I1 => si_rs_awvalid, - I2 => \^axlen_cnt_reg[7]_0\, + I2 => \^state_reg[1]_rep_1\, O => \^e\(0) ); -\wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6 +\wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"AAAAA4AA55555455" + INIT => X"55555855AAAAA8AA" ) port map ( - I0 => \wrap_second_len_r[0]_i_2_n_0\, - I1 => \^axaddr_offset\(0), - I2 => \^axaddr_offset_r_reg[0]\(1), + I0 => \axaddr_offset_r_reg[3]\, + I1 => \^axaddr_offset_r_reg[0]\(0), + I2 => \^q\(1), I3 => si_rs_awvalid, - I4 => \^axaddr_offset_r_reg[0]\(0), + I4 => \^q\(0), I5 => \wrap_second_len_r_reg[3]_0\(0), - O => \wrap_cnt_r_reg[3]\(0) - ); -\wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"23106754" - ) - port map ( - I0 => \wrap_second_len_r[0]_i_2_n_0\, - I1 => \^e\(0), - I2 => \wrap_second_len_r_reg[3]_0\(0), - I3 => \wrap_second_len_r_reg[3]_0\(1), - I4 => \axaddr_offset_r_reg[1]\, - O => \wrap_cnt_r_reg[3]\(1) + O => D(0) ); \wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"A999A9AAAAAAAAAA" + INIT => X"959AAAAAAAAAAAAA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(2), - I1 => \wrap_second_len_r[0]_i_2_n_0\, - I2 => \^axaddr_offset\(0), - I3 => \^e\(0), - I4 => \wrap_second_len_r_reg[3]_0\(0), + I1 => \^axaddr_offset_r_reg[0]\(0), + I2 => \^e\(0), + I3 => \wrap_second_len_r_reg[3]_0\(0), + I4 => \axaddr_offset_r_reg[3]\, I5 => \^wrap_second_len_r_reg[3]\(1), - O => \wrap_cnt_r_reg[3]\(2) + O => D(1) ); \wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT4 generic map( @@ -4285,47 +4209,34 @@ sel_first_i_1: unisim.vcomponents.LUT6 I1 => \^wrap_second_len_r_reg[3]\(1), I2 => \wrap_cnt_r[3]_i_2_n_0\, I3 => \^wrap_second_len_r_reg[3]\(2), - O => \wrap_cnt_r_reg[3]\(3) + O => D(2) ); \wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"AAAE0004AAAEFFFF" + INIT => X"BB11BB11BB11BBF1" ) port map ( - I0 => \^axaddr_offset\(0), - I1 => \axaddr_offset_r_reg[1]\, - I2 => \m_payload_i_reg[47]\(1), - I3 => \m_payload_i_reg[47]\(0), - I4 => \^e\(0), - I5 => \wrap_second_len_r_reg[3]_0\(0), + I0 => \^e\(0), + I1 => \wrap_second_len_r_reg[3]_0\(0), + I2 => \axaddr_offset_r_reg[1]\, + I3 => \^axaddr_offset_r_reg[0]\(0), + I4 => axaddr_offset(0), + I5 => axaddr_offset(1), O => \wrap_cnt_r[3]_i_2_n_0\ ); \wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFFFF1FF00000100" + INIT => X"FFFFF2FF00000200" ) port map ( - I0 => \wrap_second_len_r[0]_i_2_n_0\, - I1 => \^axaddr_offset\(0), - I2 => \^axaddr_offset_r_reg[0]\(1), + I0 => \axaddr_offset_r_reg[3]\, + I1 => \^axaddr_offset_r_reg[0]\(0), + I2 => \^q\(1), I3 => si_rs_awvalid, - I4 => \^axaddr_offset_r_reg[0]\(0), + I4 => \^q\(0), I5 => \wrap_second_len_r_reg[3]_0\(0), O => \^wrap_second_len_r_reg[3]\(0) ); -\wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"0000000004000404" - ) - port map ( - I0 => \^axaddr_offset\(0), - I1 => \axaddr_offset_r_reg[1]\, - I2 => \m_payload_i_reg[35]\, - I3 => \^e\(0), - I4 => \axaddr_offset_r_reg[3]\(1), - I5 => \m_payload_i_reg[47]\(0), - O => \wrap_second_len_r[0]_i_2_n_0\ - ); \wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"2222EEE2EEEE2222" @@ -4333,23 +4244,23 @@ sel_first_i_1: unisim.vcomponents.LUT6 port map ( I0 => \wrap_second_len_r_reg[3]_0\(1), I1 => \^e\(0), - I2 => \m_payload_i_reg[47]\(0), - I3 => \m_payload_i_reg[47]\(1), - I4 => \^axaddr_offset\(0), + I2 => axaddr_offset(0), + I3 => axaddr_offset(1), + I4 => \^axaddr_offset_r_reg[0]\(0), I5 => \axaddr_offset_r_reg[1]\, O => \^wrap_second_len_r_reg[3]\(1) ); \wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"E2E2E2E22E22E2E2" + INIT => X"EE2E22E2EE2E2222" ) port map ( I0 => \wrap_second_len_r_reg[3]_0\(2), I1 => \^e\(0), - I2 => \m_payload_i_reg[47]\(0), - I3 => \m_payload_i_reg[47]\(1), - I4 => \axaddr_offset_r_reg[1]\, - I5 => \^axaddr_offset\(0), + I2 => \axaddr_offset_r_reg[1]\, + I3 => \^axaddr_offset_r_reg[0]\(0), + I4 => axaddr_offset(0), + I5 => axaddr_offset(1), O => \^wrap_second_len_r_reg[3]\(2) ); \wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6 @@ -4357,9 +4268,9 @@ sel_first_i_1: unisim.vcomponents.LUT6 INIT => X"FB00FFFFFB00FB00" ) port map ( - I0 => \^axaddr_offset\(0), + I0 => \^axaddr_offset_r_reg[0]\(0), I1 => \axaddr_offset_r_reg[1]\, - I2 => \m_payload_i_reg[47]\(0), + I2 => axaddr_offset(0), I3 => \m_payload_i_reg[35]\, I4 => \^e\(0), I5 => \wrap_second_len_r_reg[3]_0\(3), @@ -4372,27 +4283,28 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd is port ( - next_pending_r_reg_0 : out STD_LOGIC; + wrap_next_pending : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; - next_pending_r_reg_1 : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); - \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - wrap_next_pending : in STD_LOGIC; + \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); + \next\ : in STD_LOGIC; + \m_payload_i_reg[46]\ : in STD_LOGIC; \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); si_rs_awvalid : in STD_LOGIC; - \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; axaddr_incr_reg : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC; + \axaddr_offset_r_reg[1]_0\ : in STD_LOGIC; \m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); - \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); attribute ORIG_REF_NAME : string; @@ -4434,17 +4346,24 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal \axlen_cnt[0]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[1]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__0_n_0\ : STD_LOGIC; - signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC; + signal \axlen_cnt[3]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; + signal next_pending_r_i_2_n_0 : STD_LOGIC; + signal next_pending_r_reg_n_0 : STD_LOGIC; signal \^sel_first_reg_0\ : STD_LOGIC; signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal wrap_cnt : STD_LOGIC_VECTOR ( 1 to 1 ); signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \^wrap_next_pending\ : STD_LOGIC; + signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_axaddr_wrap_reg[11]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); begin sel_first_reg_0 <= \^sel_first_reg_0\; + wrap_next_pending <= \^wrap_next_pending\; + \wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0); \axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, @@ -4479,38 +4398,38 @@ begin ); \axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(0), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(0), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(0), + I0 => axaddr_wrap0(0), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(0), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(0), O => \axaddr_wrap[0]_i_1_n_0\ ); \axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(10), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(10), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(10), + I0 => axaddr_wrap0(10), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(10), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(10), O => \axaddr_wrap[10]_i_1_n_0\ ); \axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(11), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(11), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(11), + I0 => axaddr_wrap0(11), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(11), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(11), O => \axaddr_wrap[11]_i_1_n_0\ ); \axaddr_wrap[11]_i_3\: unisim.vcomponents.LUT3 @@ -4528,48 +4447,48 @@ begin INIT => X"6FF6FFFFFFFF6FF6" ) port map ( - I0 => \axlen_cnt_reg_n_0_[2]\, - I1 => wrap_cnt_r(2), - I2 => \axlen_cnt_reg_n_0_[1]\, - I3 => wrap_cnt_r(1), - I4 => wrap_cnt_r(0), - I5 => \axlen_cnt_reg_n_0_[0]\, + I0 => wrap_cnt_r(0), + I1 => \axlen_cnt_reg_n_0_[0]\, + I2 => \axlen_cnt_reg_n_0_[2]\, + I3 => wrap_cnt_r(2), + I4 => \axlen_cnt_reg_n_0_[1]\, + I5 => wrap_cnt_r(1), O => \axaddr_wrap[11]_i_8_n_0\ ); \axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(1), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(1), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(1), + I0 => axaddr_wrap0(1), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(1), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(1), O => \axaddr_wrap[1]_i_1_n_0\ ); \axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(2), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(2), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(2), + I0 => axaddr_wrap0(2), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(2), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(2), O => \axaddr_wrap[2]_i_1_n_0\ ); \axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(3), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(3), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(3), + I0 => axaddr_wrap0(3), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(3), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(3), O => \axaddr_wrap[3]_i_1_n_0\ ); \axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3 @@ -4614,74 +4533,74 @@ begin ); \axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(4), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(4), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(4), + I0 => axaddr_wrap0(4), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(4), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(4), O => \axaddr_wrap[4]_i_1_n_0\ ); \axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(5), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(5), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(5), + I0 => axaddr_wrap0(5), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(5), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(5), O => \axaddr_wrap[5]_i_1_n_0\ ); \axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(6), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(6), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(6), + I0 => axaddr_wrap0(6), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(6), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(6), O => \axaddr_wrap[6]_i_1_n_0\ ); \axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(7), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(7), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(7), + I0 => axaddr_wrap0(7), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(7), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(7), O => \axaddr_wrap[7]_i_1_n_0\ ); \axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(8), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(8), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(8), + I0 => axaddr_wrap0(8), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(8), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(8), O => \axaddr_wrap[8]_i_1_n_0\ ); \axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"B8BBB888" + INIT => X"B8FFB800" ) port map ( - I0 => \m_payload_i_reg[47]\(9), - I1 => \cnt_read_reg[1]_rep__1\, - I2 => axaddr_wrap0(9), - I3 => \axaddr_wrap[11]_i_3_n_0\, - I4 => wrap_boundary_axaddr_r(9), + I0 => axaddr_wrap0(9), + I1 => \axaddr_wrap[11]_i_3_n_0\, + I2 => wrap_boundary_axaddr_r(9), + I3 => \next\, + I4 => \m_payload_i_reg[47]\(9), O => \axaddr_wrap[9]_i_1_n_0\ ); \axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE @@ -4827,9 +4746,9 @@ begin I0 => \m_payload_i_reg[47]\(15), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => E(0), - I3 => \axlen_cnt_reg_n_0_[1]\, - I4 => \axlen_cnt_reg_n_0_[2]\, - I5 => \axlen_cnt_reg_n_0_[3]\, + I3 => \axlen_cnt_reg_n_0_[3]\, + I4 => \axlen_cnt_reg_n_0_[1]\, + I5 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[0]_i_1__0_n_0\ ); \axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT6 @@ -4841,8 +4760,8 @@ begin I1 => \axlen_cnt_reg_n_0_[1]\, I2 => \axlen_cnt_reg_n_0_[0]\, I3 => E(0), - I4 => \axlen_cnt_reg_n_0_[2]\, - I5 => \axlen_cnt_reg_n_0_[3]\, + I4 => \axlen_cnt_reg_n_0_[3]\, + I5 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[1]_i_1__0_n_0\ ); \axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6 @@ -4858,7 +4777,7 @@ begin I5 => \axlen_cnt_reg_n_0_[3]\, O => \axlen_cnt[2]_i_1__0_n_0\ ); -\axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6 +\axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFAAA80000AAA8" ) @@ -4869,7 +4788,7 @@ begin I3 => \axlen_cnt_reg_n_0_[0]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(18), - O => \axlen_cnt[3]_i_1__1_n_0\ + O => \axlen_cnt[3]_i_1__0_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( @@ -4899,7 +4818,7 @@ begin port map ( C => aclk, CE => m_valid_i_reg(0), - D => \axlen_cnt[3]_i_1__1_n_0\, + D => \axlen_cnt[3]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); @@ -5059,7 +4978,19 @@ begin I5 => \m_payload_i_reg[47]\(9), O => m_axi_awaddr(9) ); -\next_pending_r_i_2__0\: unisim.vcomponents.LUT6 +\next_pending_r_i_1__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"C0E2FFE2" + ) + port map ( + I0 => next_pending_r_reg_n_0, + I1 => \next\, + I2 => next_pending_r_i_2_n_0, + I3 => E(0), + I4 => \m_payload_i_reg[46]\, + O => \^wrap_next_pending\ + ); +next_pending_r_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"FBFBFBFBFBFBFB00" ) @@ -5067,17 +4998,17 @@ begin I0 => \state_reg[1]\(1), I1 => si_rs_awvalid, I2 => \state_reg[1]\(0), - I3 => \axlen_cnt_reg_n_0_[1]\, - I4 => \axlen_cnt_reg_n_0_[2]\, - I5 => \axlen_cnt_reg_n_0_[3]\, - O => next_pending_r_reg_1 + I3 => \axlen_cnt_reg_n_0_[3]\, + I4 => \axlen_cnt_reg_n_0_[1]\, + I5 => \axlen_cnt_reg_n_0_[2]\, + O => next_pending_r_i_2_n_0 ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => wrap_next_pending, - Q => next_pending_r_reg_0, + D => \^wrap_next_pending\, + Q => next_pending_r_reg_n_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE @@ -5184,11 +5115,23 @@ sel_first_reg: unisim.vcomponents.FDRE Q => wrap_boundary_axaddr_r(9), R => '0' ); +\wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"1540B5E0" + ) + port map ( + I0 => E(0), + I1 => \^wrap_second_len_r_reg[3]_0\(0), + I2 => \axaddr_offset_r_reg[3]_1\, + I3 => \^wrap_second_len_r_reg[3]_0\(1), + I4 => \axaddr_offset_r_reg[1]_0\, + O => wrap_cnt(1) + ); \wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_2\(0), + D => D(0), Q => wrap_cnt_r(0), R => '0' ); @@ -5196,7 +5139,7 @@ sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_2\(1), + D => wrap_cnt(1), Q => wrap_cnt_r(1), R => '0' ); @@ -5204,7 +5147,7 @@ sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_2\(2), + D => D(1), Q => wrap_cnt_r(2), R => '0' ); @@ -5212,7 +5155,7 @@ sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_2\(3), + D => D(2), Q => wrap_cnt_r(3), R => '0' ); @@ -5221,7 +5164,7 @@ sel_first_reg: unisim.vcomponents.FDRE C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(0), - Q => \wrap_second_len_r_reg[3]_0\(0), + Q => \^wrap_second_len_r_reg[3]_0\(0), R => '0' ); \wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE @@ -5229,7 +5172,7 @@ sel_first_reg: unisim.vcomponents.FDRE C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(1), - Q => \wrap_second_len_r_reg[3]_0\(1), + Q => \^wrap_second_len_r_reg[3]_0\(1), R => '0' ); \wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE @@ -5237,7 +5180,7 @@ sel_first_reg: unisim.vcomponents.FDRE C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(2), - Q => \wrap_second_len_r_reg[3]_0\(2), + Q => \^wrap_second_len_r_reg[3]_0\(2), R => '0' ); \wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE @@ -5245,7 +5188,7 @@ sel_first_reg: unisim.vcomponents.FDRE C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(3), - Q => \wrap_second_len_r_reg[3]_0\(3), + Q => \^wrap_second_len_r_reg[3]_0\(3), R => '0' ); end STRUCTURE; @@ -5259,25 +5202,24 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 is sel_first_reg_0 : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); - \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); wrap_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); - \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \state_reg[0]_rep\ : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; + \state_reg[1]_rep_0\ : in STD_LOGIC; axaddr_incr_reg : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC; - \m_payload_i_reg[35]\ : in STD_LOGIC; - \m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + axaddr_offset : in STD_LOGIC_VECTOR ( 3 downto 0 ); + D : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); - \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); attribute ORIG_REF_NAME : string; @@ -5359,21 +5301,18 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC; - signal \wrap_cnt_r[1]_i_1__0_n_0\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC; - signal \^wrap_second_len_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_axaddr_wrap_reg[11]_i_2__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); begin sel_first_reg_0 <= \^sel_first_reg_0\; - \wrap_second_len_r_reg[3]_0\(3 downto 0) <= \^wrap_second_len_r_reg[3]_0\(3 downto 0); \axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[47]_0\(0), + D => axaddr_offset(0), Q => \axaddr_offset_r_reg[3]_0\(0), R => '0' ); @@ -5381,7 +5320,7 @@ begin port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[47]_0\(1), + D => axaddr_offset(1), Q => \axaddr_offset_r_reg[3]_0\(1), R => '0' ); @@ -5389,7 +5328,7 @@ begin port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[47]_0\(2), + D => axaddr_offset(2), Q => \axaddr_offset_r_reg[3]_0\(2), R => '0' ); @@ -5397,7 +5336,7 @@ begin port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[47]_0\(3), + D => axaddr_offset(3), Q => \axaddr_offset_r_reg[3]_0\(3), R => '0' ); @@ -5409,7 +5348,7 @@ begin I0 => \axaddr_wrap_reg[3]_i_2__0_n_7\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[0]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(0), O => \axaddr_wrap[0]_i_1__0_n_0\ ); @@ -5421,7 +5360,7 @@ begin I0 => \axaddr_wrap_reg[11]_i_2__0_n_5\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[10]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(10), O => \axaddr_wrap[10]_i_1__0_n_0\ ); @@ -5433,7 +5372,7 @@ begin I0 => \axaddr_wrap_reg[11]_i_2__0_n_4\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[11]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(11), O => \axaddr_wrap[11]_i_1__0_n_0\ ); @@ -5454,10 +5393,10 @@ begin port map ( I0 => \wrap_cnt_r_reg_n_0_[0]\, I1 => \axlen_cnt_reg_n_0_[0]\, - I2 => \axlen_cnt_reg_n_0_[2]\, - I3 => \wrap_cnt_r_reg_n_0_[2]\, - I4 => \axlen_cnt_reg_n_0_[1]\, - I5 => \wrap_cnt_r_reg_n_0_[1]\, + I2 => \axlen_cnt_reg_n_0_[1]\, + I3 => \wrap_cnt_r_reg_n_0_[1]\, + I4 => \axlen_cnt_reg_n_0_[2]\, + I5 => \wrap_cnt_r_reg_n_0_[2]\, O => \axaddr_wrap[11]_i_8__0_n_0\ ); \axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5 @@ -5468,7 +5407,7 @@ begin I0 => \axaddr_wrap_reg[3]_i_2__0_n_6\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[1]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(1), O => \axaddr_wrap[1]_i_1__0_n_0\ ); @@ -5480,7 +5419,7 @@ begin I0 => \axaddr_wrap_reg[3]_i_2__0_n_5\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[2]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(2), O => \axaddr_wrap[2]_i_1__0_n_0\ ); @@ -5492,7 +5431,7 @@ begin I0 => \axaddr_wrap_reg[3]_i_2__0_n_4\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[3]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(3), O => \axaddr_wrap[3]_i_1__0_n_0\ ); @@ -5544,7 +5483,7 @@ begin I0 => \axaddr_wrap_reg[7]_i_2__0_n_7\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[4]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(4), O => \axaddr_wrap[4]_i_1__0_n_0\ ); @@ -5556,7 +5495,7 @@ begin I0 => \axaddr_wrap_reg[7]_i_2__0_n_6\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[5]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(5), O => \axaddr_wrap[5]_i_1__0_n_0\ ); @@ -5568,7 +5507,7 @@ begin I0 => \axaddr_wrap_reg[7]_i_2__0_n_5\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[6]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(6), O => \axaddr_wrap[6]_i_1__0_n_0\ ); @@ -5580,7 +5519,7 @@ begin I0 => \axaddr_wrap_reg[7]_i_2__0_n_4\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[7]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(7), O => \axaddr_wrap[7]_i_1__0_n_0\ ); @@ -5592,7 +5531,7 @@ begin I0 => \axaddr_wrap_reg[11]_i_2__0_n_7\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[8]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(8), O => \axaddr_wrap[8]_i_1__0_n_0\ ); @@ -5604,7 +5543,7 @@ begin I0 => \axaddr_wrap_reg[11]_i_2__0_n_6\, I1 => \axaddr_wrap[11]_i_3__0_n_0\, I2 => \wrap_boundary_axaddr_r_reg_n_0_[9]\, - I3 => \state_reg[1]_rep\, + I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(9), O => \axaddr_wrap[9]_i_1__0_n_0\ ); @@ -5763,15 +5702,15 @@ begin ); \axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT6 generic map( - INIT => X"A3A3A3A3A3A3A3A0" + INIT => X"FFFF555400005554" ) port map ( - I0 => \m_payload_i_reg[47]\(15), - I1 => \axlen_cnt_reg_n_0_[0]\, - I2 => E(0), - I3 => \axlen_cnt_reg_n_0_[1]\, - I4 => \axlen_cnt_reg_n_0_[2]\, - I5 => \axlen_cnt_reg_n_0_[3]\, + I0 => \axlen_cnt_reg_n_0_[0]\, + I1 => \axlen_cnt_reg_n_0_[1]\, + I2 => \axlen_cnt_reg_n_0_[2]\, + I3 => \axlen_cnt_reg_n_0_[3]\, + I4 => E(0), + I5 => \m_payload_i_reg[47]\(15), O => \axlen_cnt[0]_i_1__2_n_0\ ); \axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6 @@ -6001,14 +5940,14 @@ begin I5 => \m_payload_i_reg[47]\(9), O => m_axi_araddr(9) ); -\next_pending_r_i_2__2\: unisim.vcomponents.LUT6 +\next_pending_r_i_3__1\: unisim.vcomponents.LUT6 generic map( INIT => X"FBFBFBFBFBFBFB00" ) port map ( - I0 => \state_reg[1]\(0), + I0 => \state_reg[0]_rep\, I1 => si_rs_arvalid, - I2 => \state_reg[1]\(1), + I2 => \state_reg[1]_rep\, I3 => \axlen_cnt_reg_n_0_[1]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[3]\, @@ -6126,23 +6065,11 @@ sel_first_reg: unisim.vcomponents.FDRE Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\, R => '0' ); -\wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"13D320E0" - ) - port map ( - I0 => \^wrap_second_len_r_reg[3]_0\(0), - I1 => E(0), - I2 => \axaddr_offset_r_reg[3]_1\, - I3 => \m_payload_i_reg[35]\, - I4 => \^wrap_second_len_r_reg[3]_0\(1), - O => \wrap_cnt_r[1]_i_1__0_n_0\ - ); \wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_2\(0), + D => \wrap_second_len_r_reg[3]_1\(0), Q => \wrap_cnt_r_reg_n_0_[0]\, R => '0' ); @@ -6150,7 +6077,7 @@ sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_cnt_r[1]_i_1__0_n_0\, + D => \wrap_second_len_r_reg[3]_1\(1), Q => \wrap_cnt_r_reg_n_0_[1]\, R => '0' ); @@ -6158,7 +6085,7 @@ sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_2\(1), + D => \wrap_second_len_r_reg[3]_1\(2), Q => \wrap_cnt_r_reg_n_0_[2]\, R => '0' ); @@ -6166,7 +6093,7 @@ sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_2\(2), + D => \wrap_second_len_r_reg[3]_1\(3), Q => \wrap_cnt_r_reg_n_0_[3]\, R => '0' ); @@ -6174,32 +6101,32 @@ sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_1\(0), - Q => \^wrap_second_len_r_reg[3]_0\(0), + D => D(0), + Q => \wrap_second_len_r_reg[3]_0\(0), R => '0' ); \wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_1\(1), - Q => \^wrap_second_len_r_reg[3]_0\(1), + D => D(1), + Q => \wrap_second_len_r_reg[3]_0\(1), R => '0' ); \wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_1\(2), - Q => \^wrap_second_len_r_reg[3]_0\(2), + D => D(2), + Q => \wrap_second_len_r_reg[3]_0\(2), R => '0' ); \wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \wrap_second_len_r_reg[3]_1\(3), - Q => \^wrap_second_len_r_reg[3]_0\(3), + D => D(3), + Q => \wrap_second_len_r_reg[3]_0\(3), R => '0' ); end STRUCTURE; @@ -6212,38 +6139,38 @@ entity system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice is s_axi_arready : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; - Q : out STD_LOGIC_VECTOR ( 53 downto 0 ); + \wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \wrap_second_len_r_reg[1]\ : out STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 57 downto 0 ); \axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); - \wrap_cnt_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - D : out STD_LOGIC_VECTOR ( 1 downto 0 ); - \wrap_cnt_r_reg[2]_0\ : out STD_LOGIC; - \axaddr_offset_r_reg[2]\ : out STD_LOGIC; - \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); + D : out STD_LOGIC_VECTOR ( 2 downto 0 ); + \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \axaddr_offset_r_reg[1]\ : out STD_LOGIC; - \wrap_second_len_r_reg[3]\ : out STD_LOGIC; - next_pending_r_reg : out STD_LOGIC; + \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; + axaddr_offset_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); + next_pending_r_reg : out STD_LOGIC; + next_pending_r_reg_0 : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); - \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \m_axi_araddr[10]\ : out STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; aclk : in STD_LOGIC; m_valid_i0 : in STD_LOGIC; \aresetn_d_reg[0]_0\ : in STD_LOGIC; \m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \wrap_second_len_r_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \state_reg[1]_rep\ : in STD_LOGIC; - axaddr_offset_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); - \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); - \state_reg[1]_rep_0\ : in STD_LOGIC; + \wrap_second_len_r_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC; + \state_reg[1]_rep_0\ : in STD_LOGIC; sel_first_1 : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); - s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); @@ -6256,8 +6183,8 @@ entity system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice is end system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice; architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice is - signal \^d\ : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \^q\ : STD_LOGIC_VECTOR ( 53 downto 0 ); + signal \^d\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \^q\ : STD_LOGIC_VECTOR ( 57 downto 0 ); signal \axaddr_incr[0]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_12__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_13__0_n_0\ : STD_LOGIC; @@ -6287,13 +6214,15 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \axaddr_incr_reg[8]_i_6__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_3\ : STD_LOGIC; - signal \axaddr_offset_r[1]_i_3__0_n_0\ : STD_LOGIC; - signal \axaddr_offset_r[2]_i_2__0_n_0\ : STD_LOGIC; + signal \axaddr_offset_r[0]_i_2__0_n_0\ : STD_LOGIC; + signal \axaddr_offset_r[1]_i_2__0_n_0\ : STD_LOGIC; + signal \axaddr_offset_r[2]_i_2_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_3__0_n_0\ : STD_LOGIC; + signal \axaddr_offset_r[2]_i_4_n_0\ : STD_LOGIC; signal \axaddr_offset_r[3]_i_2__0_n_0\ : STD_LOGIC; + signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC; - signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC; - signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC; signal \^axlen_cnt_reg[3]\ : STD_LOGIC; signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC; @@ -6332,10 +6261,11 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[46]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC; + signal \m_payload_i[48]_i_1__0_n_0\ : STD_LOGIC; + signal \m_payload_i[49]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC; - signal \m_payload_i[52]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[54]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[55]_i_1__0_n_0\ : STD_LOGIC; @@ -6346,12 +6276,16 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[60]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[61]_i_1__0_n_0\ : STD_LOGIC; + signal \m_payload_i[62]_i_1__0_n_0\ : STD_LOGIC; + signal \m_payload_i[63]_i_1__0_n_0\ : STD_LOGIC; + signal \m_payload_i[64]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i_reg_n_0_[38]\ : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; + signal \^next_pending_r_reg_0\ : STD_LOGIC; signal \^s_axi_arready\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; @@ -6392,10 +6326,11 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[48]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[49]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC; - signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC; @@ -6406,85 +6341,102 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[62]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[63]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[64]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC; - signal \^wrap_cnt_r_reg[2]_0\ : STD_LOGIC; - signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC; + signal \wrap_cnt_r[3]_i_2__0_n_0\ : STD_LOGIC; + signal \wrap_cnt_r[3]_i_3_n_0\ : STD_LOGIC; + signal \wrap_second_len_r[0]_i_2__0_n_0\ : STD_LOGIC; + signal \wrap_second_len_r[0]_i_3_n_0\ : STD_LOGIC; + signal \wrap_second_len_r[0]_i_4_n_0\ : STD_LOGIC; + signal \wrap_second_len_r[0]_i_5_n_0\ : STD_LOGIC; + signal \wrap_second_len_r[3]_i_2__0_n_0\ : STD_LOGIC; + signal \^wrap_second_len_r_reg[1]\ : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \axaddr_offset_r[1]_i_3__0\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2__0\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair36"; - attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair35"; - attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair35"; - attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair40"; - attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair40"; - attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair26"; - attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair26"; - attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair25"; - attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair25"; - attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair24"; - attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair24"; - attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair39"; - attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair21"; - attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair21"; - attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair39"; - attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \m_payload_i[52]_i_1__0\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair17"; - attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair17"; - attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair38"; - attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair38"; - attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair37"; - attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair36"; - attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair37"; + attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_1__0\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_4\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__0\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair40"; + attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair39"; + attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair39"; + attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair38"; + attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair38"; + attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair37"; + attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair37"; + attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair36"; + attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair36"; + attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair35"; + attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair22"; + attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair35"; + attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair34"; + attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair34"; + attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair33"; + attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair33"; + attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair32"; + attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair32"; + attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair43"; + attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \m_payload_i[48]_i_1__0\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of \m_payload_i[49]_i_1__0\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair43"; + attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair22"; + attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair20"; + attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair20"; + attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair42"; + attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \m_payload_i[62]_i_1__0\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \m_payload_i[63]_i_1__0\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \m_payload_i[64]_i_1__0\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair42"; + attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair41"; + attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair41"; + attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \wrap_cnt_r[2]_i_1__0\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_1__0\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_2__0\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \wrap_second_len_r[0]_i_4\ : label is "soft_lutpair14"; begin - D(1 downto 0) <= \^d\(1 downto 0); - Q(53 downto 0) <= \^q\(53 downto 0); + D(2 downto 0) <= \^d\(2 downto 0); + Q(57 downto 0) <= \^q\(57 downto 0); + \axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\; \axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\; - \axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\; - \axaddr_offset_r_reg[3]\(1 downto 0) <= \^axaddr_offset_r_reg[3]\(1 downto 0); + \axaddr_offset_r_reg[3]\ <= \^axaddr_offset_r_reg[3]\; \axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; + next_pending_r_reg_0 <= \^next_pending_r_reg_0\; s_axi_arready <= \^s_axi_arready\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; - \wrap_cnt_r_reg[2]_0\ <= \^wrap_cnt_r_reg[2]_0\; - \wrap_second_len_r_reg[3]\ <= \^wrap_second_len_r_reg[3]\; + \wrap_second_len_r_reg[1]\ <= \^wrap_second_len_r_reg[1]\; \aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE generic map( INIT => '1' @@ -6673,6 +6625,19 @@ begin O(3 downto 0) => \axaddr_incr_reg[11]\(3 downto 0), S(3 downto 0) => \^q\(11 downto 8) ); +\axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFF8FF00000800" + ) + port map ( + I0 => \^q\(38), + I1 => \axaddr_offset_r[0]_i_2__0_n_0\, + I2 => \state_reg[1]\(1), + I3 => \^s_ready_i_reg_0\, + I4 => \state_reg[1]\(0), + I5 => \axaddr_offset_r_reg[3]_0\(0), + O => \^axaddr_offset_r_reg[0]\ + ); \axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" @@ -6684,71 +6649,74 @@ begin I3 => \^q\(2), I4 => \^q\(36), I5 => \^q\(0), - O => \axaddr_offset_r_reg[0]\ + O => \axaddr_offset_r[0]_i_2__0_n_0\ ); -\axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT1 +\axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"1" + INIT => X"FFFFF8FF00000800" ) port map ( - I0 => \^axaddr_offset_r_reg[1]\, - O => \^axaddr_offset_r_reg[3]\(0) + I0 => \^q\(39), + I1 => \axaddr_offset_r[1]_i_2__0_n_0\, + I2 => \state_reg[1]\(1), + I3 => \^s_ready_i_reg_0\, + I4 => \state_reg[1]\(0), + I5 => \axaddr_offset_r_reg[3]_0\(1), + O => \^axaddr_offset_r_reg[1]\ ); \axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"1FDF00001FDFFFFF" + INIT => X"AFA0CFCFAFA0C0C0" ) port map ( - I0 => \axaddr_offset_r[1]_i_3__0_n_0\, - I1 => \^q\(35), - I2 => \^q\(39), - I3 => \axaddr_offset_r[2]_i_3__0_n_0\, - I4 => \state_reg[1]_rep\, - I5 => \axaddr_offset_r_reg[3]_0\(0), - O => \^axaddr_offset_r_reg[1]\ + I0 => \^q\(4), + I1 => \^q\(2), + I2 => \^q\(35), + I3 => \^q\(3), + I4 => \^q\(36), + I5 => \^q\(1), + O => \axaddr_offset_r[1]_i_2__0_n_0\ ); -\axaddr_offset_r[1]_i_3__0\: unisim.vcomponents.LUT3 +\axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT1 generic map( - INIT => X"B8" + INIT => X"1" ) port map ( - I0 => \^q\(3), - I1 => \^q\(36), - I2 => \^q\(1), - O => \axaddr_offset_r[1]_i_3__0_n_0\ + I0 => \axaddr_offset_r[2]_i_2_n_0\, + O => axaddr_offset_0(0) ); -\axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6 +\axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"AC00FFFFAC000000" + INIT => X"03FFF3FF55555555" ) port map ( - I0 => \axaddr_offset_r[2]_i_2__0_n_0\, + I0 => \axaddr_offset_r_reg[3]_0\(2), I1 => \axaddr_offset_r[2]_i_3__0_n_0\, I2 => \^q\(35), I3 => \^q\(40), - I4 => \state_reg[1]_rep\, - I5 => \axaddr_offset_r_reg[3]_0\(1), - O => \^axaddr_offset_r_reg[2]\ + I4 => \axaddr_offset_r[2]_i_4_n_0\, + I5 => \state_reg[1]_rep\, + O => \axaddr_offset_r[2]_i_2_n_0\ ); -\axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT3 +\axaddr_offset_r[2]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( - I0 => \^q\(5), + I0 => \^q\(4), I1 => \^q\(36), - I2 => \^q\(3), - O => \axaddr_offset_r[2]_i_2__0_n_0\ + I2 => \^q\(2), + O => \axaddr_offset_r[2]_i_3__0_n_0\ ); -\axaddr_offset_r[2]_i_3__0\: unisim.vcomponents.LUT3 +\axaddr_offset_r[2]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( - I0 => \^q\(4), + I0 => \^q\(5), I1 => \^q\(36), - I2 => \^q\(2), - O => \axaddr_offset_r[2]_i_3__0_n_0\ + I2 => \^q\(3), + O => \axaddr_offset_r[2]_i_4_n_0\ ); \axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( @@ -6757,11 +6725,11 @@ begin port map ( I0 => \^q\(41), I1 => \axaddr_offset_r[3]_i_2__0_n_0\, - I2 => \state_reg[1]_rep_0\, + I2 => \state_reg[1]\(1), I3 => \^s_ready_i_reg_0\, - I4 => \state_reg[0]_rep\, - I5 => \axaddr_offset_r_reg[3]_0\(2), - O => \^axaddr_offset_r_reg[3]\(1) + I4 => \state_reg[1]\(0), + I5 => \axaddr_offset_r_reg[3]_0\(3), + O => \^axaddr_offset_r_reg[3]\ ); \axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( @@ -6776,7 +6744,7 @@ begin I5 => \^q\(3), O => \axaddr_offset_r[3]_i_2__0_n_0\ ); -\axlen_cnt[3]_i_4\: unisim.vcomponents.LUT4 +\axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) @@ -7166,6 +7134,26 @@ begin I2 => \skid_buffer_reg_n_0_[47]\, O => \m_payload_i[47]_i_1__0_n_0\ ); +\m_payload_i[48]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_arlen(4), + I1 => \^s_axi_arready\, + I2 => \skid_buffer_reg_n_0_[48]\, + O => \m_payload_i[48]_i_1__0_n_0\ + ); +\m_payload_i[49]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_arlen(5), + I1 => \^s_axi_arready\, + I2 => \skid_buffer_reg_n_0_[49]\, + O => \m_payload_i[49]_i_1__0_n_0\ + ); \m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" @@ -7181,7 +7169,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(0), + I0 => s_axi_arlen(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[50]\, O => \m_payload_i[50]_i_1__0_n_0\ @@ -7191,27 +7179,17 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(1), + I0 => s_axi_arlen(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[51]\, O => \m_payload_i[51]_i_1__0_n_0\ ); -\m_payload_i[52]_i_1__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => s_axi_arid(2), - I1 => \^s_axi_arready\, - I2 => \skid_buffer_reg_n_0_[52]\, - O => \m_payload_i[52]_i_1__0_n_0\ - ); \m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( - I0 => s_axi_arid(3), + I0 => s_axi_arid(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[53]\, O => \m_payload_i[53]_i_1__0_n_0\ @@ -7221,7 +7199,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(4), + I0 => s_axi_arid(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[54]\, O => \m_payload_i[54]_i_1__0_n_0\ @@ -7231,7 +7209,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(5), + I0 => s_axi_arid(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[55]\, O => \m_payload_i[55]_i_1__0_n_0\ @@ -7241,7 +7219,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(6), + I0 => s_axi_arid(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[56]\, O => \m_payload_i[56]_i_1__0_n_0\ @@ -7251,7 +7229,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(7), + I0 => s_axi_arid(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[57]\, O => \m_payload_i[57]_i_1__0_n_0\ @@ -7261,7 +7239,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(8), + I0 => s_axi_arid(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[58]\, O => \m_payload_i[58]_i_1__0_n_0\ @@ -7271,7 +7249,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(9), + I0 => s_axi_arid(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[59]\, O => \m_payload_i[59]_i_1__0_n_0\ @@ -7291,7 +7269,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(10), + I0 => s_axi_arid(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[60]\, O => \m_payload_i[60]_i_1__0_n_0\ @@ -7301,11 +7279,41 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_arid(11), + I0 => s_axi_arid(8), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[61]\, O => \m_payload_i[61]_i_1__0_n_0\ ); +\m_payload_i[62]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_arid(9), + I1 => \^s_axi_arready\, + I2 => \skid_buffer_reg_n_0_[62]\, + O => \m_payload_i[62]_i_1__0_n_0\ + ); +\m_payload_i[63]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_arid(10), + I1 => \^s_axi_arready\, + I2 => \skid_buffer_reg_n_0_[63]\, + O => \m_payload_i[63]_i_1__0_n_0\ + ); +\m_payload_i[64]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_arid(11), + I1 => \^s_axi_arready\, + I2 => \skid_buffer_reg_n_0_[64]\, + O => \m_payload_i[64]_i_1__0_n_0\ + ); \m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" @@ -7642,6 +7650,22 @@ begin Q => \^q\(41), R => '0' ); +\m_payload_i_reg[48]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \state_reg[1]_rep_1\(0), + D => \m_payload_i[48]_i_1__0_n_0\, + Q => \^q\(42), + R => '0' + ); +\m_payload_i_reg[49]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \state_reg[1]_rep_1\(0), + D => \m_payload_i[49]_i_1__0_n_0\, + Q => \^q\(43), + R => '0' + ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, @@ -7655,7 +7679,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[50]_i_1__0_n_0\, - Q => \^q\(42), + Q => \^q\(44), R => '0' ); \m_payload_i_reg[51]\: unisim.vcomponents.FDRE @@ -7663,15 +7687,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[51]_i_1__0_n_0\, - Q => \^q\(43), - R => '0' - ); -\m_payload_i_reg[52]\: unisim.vcomponents.FDRE - port map ( - C => aclk, - CE => \state_reg[1]_rep_1\(0), - D => \m_payload_i[52]_i_1__0_n_0\, - Q => \^q\(44), + Q => \^q\(45), R => '0' ); \m_payload_i_reg[53]\: unisim.vcomponents.FDRE @@ -7679,7 +7695,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[53]_i_1__0_n_0\, - Q => \^q\(45), + Q => \^q\(46), R => '0' ); \m_payload_i_reg[54]\: unisim.vcomponents.FDRE @@ -7687,7 +7703,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[54]_i_1__0_n_0\, - Q => \^q\(46), + Q => \^q\(47), R => '0' ); \m_payload_i_reg[55]\: unisim.vcomponents.FDRE @@ -7695,7 +7711,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[55]_i_1__0_n_0\, - Q => \^q\(47), + Q => \^q\(48), R => '0' ); \m_payload_i_reg[56]\: unisim.vcomponents.FDRE @@ -7703,7 +7719,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[56]_i_1__0_n_0\, - Q => \^q\(48), + Q => \^q\(49), R => '0' ); \m_payload_i_reg[57]\: unisim.vcomponents.FDRE @@ -7711,7 +7727,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[57]_i_1__0_n_0\, - Q => \^q\(49), + Q => \^q\(50), R => '0' ); \m_payload_i_reg[58]\: unisim.vcomponents.FDRE @@ -7719,7 +7735,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[58]_i_1__0_n_0\, - Q => \^q\(50), + Q => \^q\(51), R => '0' ); \m_payload_i_reg[59]\: unisim.vcomponents.FDRE @@ -7727,7 +7743,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[59]_i_1__0_n_0\, - Q => \^q\(51), + Q => \^q\(52), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE @@ -7743,7 +7759,7 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[60]_i_1__0_n_0\, - Q => \^q\(52), + Q => \^q\(53), R => '0' ); \m_payload_i_reg[61]\: unisim.vcomponents.FDRE @@ -7751,7 +7767,31 @@ begin C => aclk, CE => \state_reg[1]_rep_1\(0), D => \m_payload_i[61]_i_1__0_n_0\, - Q => \^q\(53), + Q => \^q\(54), + R => '0' + ); +\m_payload_i_reg[62]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \state_reg[1]_rep_1\(0), + D => \m_payload_i[62]_i_1__0_n_0\, + Q => \^q\(55), + R => '0' + ); +\m_payload_i_reg[63]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \state_reg[1]_rep_1\(0), + D => \m_payload_i[63]_i_1__0_n_0\, + Q => \^q\(56), + R => '0' + ); +\m_payload_i_reg[64]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \state_reg[1]_rep_1\(0), + D => \m_payload_i[64]_i_1__0_n_0\, + Q => \^q\(57), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE @@ -7794,16 +7834,27 @@ m_valid_i_reg: unisim.vcomponents.FDRE Q => \^s_ready_i_reg_0\, R => \^m_valid_i_reg_0\ ); -\next_pending_r_i_3__0\: unisim.vcomponents.LUT5 +\next_pending_r_i_2__1\: unisim.vcomponents.LUT4 generic map( - INIT => X"AAAAAAA8" + INIT => X"0001" ) port map ( - I0 => \state_reg[1]_rep\, - I1 => \^q\(38), + I0 => \^q\(40), + I1 => \^q\(39), I2 => \^q\(41), - I3 => \^q\(39), - I4 => \^q\(40), + I3 => \^q\(38), + O => \^next_pending_r_reg_0\ + ); +\next_pending_r_i_3__2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00000002" + ) + port map ( + I0 => \^next_pending_r_reg_0\, + I1 => \^q\(42), + I2 => \^q\(45), + I3 => \^q\(43), + I4 => \^q\(44), O => next_pending_r_reg ); \s_ready_i_i_1__0\: unisim.vcomponents.LUT5 @@ -8122,6 +8173,22 @@ s_ready_i_reg: unisim.vcomponents.FDRE Q => \skid_buffer_reg_n_0_[47]\, R => '0' ); +\skid_buffer_reg[48]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_arready\, + D => s_axi_arlen(4), + Q => \skid_buffer_reg_n_0_[48]\, + R => '0' + ); +\skid_buffer_reg[49]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_arready\, + D => s_axi_arlen(5), + Q => \skid_buffer_reg_n_0_[49]\, + R => '0' + ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, @@ -8134,7 +8201,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(0), + D => s_axi_arlen(6), Q => \skid_buffer_reg_n_0_[50]\, R => '0' ); @@ -8142,23 +8209,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(1), + D => s_axi_arlen(7), Q => \skid_buffer_reg_n_0_[51]\, R => '0' ); -\skid_buffer_reg[52]\: unisim.vcomponents.FDRE - port map ( - C => aclk, - CE => \^s_axi_arready\, - D => s_axi_arid(2), - Q => \skid_buffer_reg_n_0_[52]\, - R => '0' - ); \skid_buffer_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(3), + D => s_axi_arid(0), Q => \skid_buffer_reg_n_0_[53]\, R => '0' ); @@ -8166,7 +8225,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(4), + D => s_axi_arid(1), Q => \skid_buffer_reg_n_0_[54]\, R => '0' ); @@ -8174,7 +8233,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(5), + D => s_axi_arid(2), Q => \skid_buffer_reg_n_0_[55]\, R => '0' ); @@ -8182,7 +8241,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(6), + D => s_axi_arid(3), Q => \skid_buffer_reg_n_0_[56]\, R => '0' ); @@ -8190,7 +8249,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(7), + D => s_axi_arid(4), Q => \skid_buffer_reg_n_0_[57]\, R => '0' ); @@ -8198,7 +8257,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(8), + D => s_axi_arid(5), Q => \skid_buffer_reg_n_0_[58]\, R => '0' ); @@ -8206,7 +8265,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, - D => s_axi_arid(9), + D => s_axi_arid(6), Q => \skid_buffer_reg_n_0_[59]\, R => '0' ); @@ -8218,20 +8277,44 @@ s_ready_i_reg: unisim.vcomponents.FDRE Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); -\skid_buffer_reg[60]\: unisim.vcomponents.FDRE +\skid_buffer_reg[60]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_arready\, + D => s_axi_arid(7), + Q => \skid_buffer_reg_n_0_[60]\, + R => '0' + ); +\skid_buffer_reg[61]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_arready\, + D => s_axi_arid(8), + Q => \skid_buffer_reg_n_0_[61]\, + R => '0' + ); +\skid_buffer_reg[62]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_arready\, + D => s_axi_arid(9), + Q => \skid_buffer_reg_n_0_[62]\, + R => '0' + ); +\skid_buffer_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(10), - Q => \skid_buffer_reg_n_0_[60]\, + Q => \skid_buffer_reg_n_0_[63]\, R => '0' ); -\skid_buffer_reg[61]\: unisim.vcomponents.FDRE +\skid_buffer_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(11), - Q => \skid_buffer_reg_n_0_[61]\, + Q => \skid_buffer_reg_n_0_[64]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE @@ -8291,13 +8374,13 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"8888082AAAAA082A" + INIT => X"A0A002A2AAAA02A2" ) port map ( I0 => \^q\(2), - I1 => \^q\(35), - I2 => \^q\(39), - I3 => \^q\(40), + I1 => \^q\(40), + I2 => \^q\(35), + I3 => \^q\(39), I4 => \^q\(36), I5 => \^q\(38), O => \wrap_boundary_axaddr_r_reg[6]\(2) @@ -8327,15 +8410,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"002AA02A0A2AAA2A" + INIT => X"002A0A2AA02AAA2A" ) port map ( I0 => \^q\(4), I1 => \^q\(41), I2 => \^q\(35), I3 => \^q\(36), - I4 => \^q\(39), - I5 => \^q\(40), + I4 => \^q\(40), + I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(4) ); \wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5 @@ -8361,70 +8444,185 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => \^q\(41), O => \wrap_boundary_axaddr_r_reg[6]\(6) ); -\wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT6 +\wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"55555855AAAAA8AA" + ) + port map ( + I0 => \wrap_second_len_r[0]_i_2__0_n_0\, + I1 => \wrap_second_len_r[0]_i_3_n_0\, + I2 => \state_reg[1]\(1), + I3 => \^s_ready_i_reg_0\, + I4 => \state_reg[1]\(0), + I5 => \wrap_second_len_r_reg[3]\(0), + O => \wrap_cnt_r_reg[3]\(0) + ); +\wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => \^wrap_second_len_r_reg[1]\, + I1 => \wrap_cnt_r[3]_i_2__0_n_0\, + O => \wrap_cnt_r_reg[3]\(1) + ); +\wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( - INIT => X"A656AAAAAAAAAAAA" + INIT => X"9A" ) port map ( I0 => \^d\(1), - I1 => \wrap_second_len_r_reg[2]\(0), - I2 => \state_reg[1]_rep\, - I3 => axaddr_offset_0(0), - I4 => \^wrap_cnt_r_reg[2]_0\, - I5 => \^d\(0), - O => \wrap_cnt_r_reg[2]\(0) + I1 => \wrap_cnt_r[3]_i_2__0_n_0\, + I2 => \^wrap_second_len_r_reg[1]\, + O => \wrap_cnt_r_reg[3]\(2) + ); +\wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"A6AA" + ) + port map ( + I0 => \^d\(2), + I1 => \^wrap_second_len_r_reg[1]\, + I2 => \wrap_cnt_r[3]_i_2__0_n_0\, + I3 => \^d\(1), + O => \wrap_cnt_r_reg[3]\(3) + ); +\wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAAABAA" + ) + port map ( + I0 => \wrap_cnt_r[3]_i_3_n_0\, + I1 => \^axaddr_offset_r_reg[0]\, + I2 => \^axaddr_offset_r_reg[1]\, + I3 => \axaddr_offset_r[2]_i_2_n_0\, + I4 => \^axaddr_offset_r_reg[3]\, + O => \wrap_cnt_r[3]_i_2__0_n_0\ + ); +\wrap_cnt_r[3]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00000800FFFFF8FF" + ) + port map ( + I0 => \axaddr_offset_r[0]_i_2__0_n_0\, + I1 => \^q\(38), + I2 => \state_reg[1]\(1), + I3 => \^s_ready_i_reg_0\, + I4 => \state_reg[1]\(0), + I5 => \wrap_second_len_r_reg[3]\(0), + O => \wrap_cnt_r[3]_i_3_n_0\ + ); +\wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"CCCCC0CCCCCCCACC" + ) + port map ( + I0 => \wrap_second_len_r[0]_i_2__0_n_0\, + I1 => \wrap_second_len_r_reg[3]\(0), + I2 => \state_reg[1]\(0), + I3 => \^s_ready_i_reg_0\, + I4 => \state_reg[1]\(1), + I5 => \wrap_second_len_r[0]_i_3_n_0\, + O => \^d\(0) ); \wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFFFFFBAFFFFFFFF" + INIT => X"FFFFFFFFFFFFF2FF" ) port map ( - I0 => \^wrap_second_len_r_reg[3]\, + I0 => \axaddr_offset_r_reg[3]_0\(3), I1 => \state_reg[1]_rep\, - I2 => \axaddr_offset_r_reg[3]_0\(2), - I3 => \^axaddr_offset_r_reg[2]\, - I4 => axaddr_offset_0(0), - I5 => \^axaddr_offset_r_reg[1]\, - O => \^wrap_cnt_r_reg[2]_0\ + I2 => \wrap_second_len_r[3]_i_2__0_n_0\, + I3 => \axaddr_offset_r[2]_i_2_n_0\, + I4 => \^axaddr_offset_r_reg[1]\, + I5 => \^axaddr_offset_r_reg[0]\, + O => \wrap_second_len_r[0]_i_2__0_n_0\ + ); +\wrap_second_len_r[0]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00000000FFE200E2" + ) + port map ( + I0 => \^q\(0), + I1 => \^q\(36), + I2 => \^q\(2), + I3 => \^q\(35), + I4 => \wrap_second_len_r[0]_i_4_n_0\, + I5 => \wrap_second_len_r[0]_i_5_n_0\, + O => \wrap_second_len_r[0]_i_3_n_0\ + ); +\wrap_second_len_r[0]_i_4\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => \^q\(3), + I1 => \^q\(36), + I2 => \^q\(1), + O => \wrap_second_len_r[0]_i_4_n_0\ + ); +\wrap_second_len_r[0]_i_5\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFDF" + ) + port map ( + I0 => \^q\(38), + I1 => \state_reg[1]\(0), + I2 => \^s_ready_i_reg_0\, + I3 => \state_reg[1]\(1), + O => \wrap_second_len_r[0]_i_5_n_0\ ); \wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"0EF0FFFF0EF00000" + INIT => X"C3AAC0AAC3AAC3AA" ) port map ( - I0 => \^axaddr_offset_r_reg[2]\, - I1 => \^axaddr_offset_r_reg[3]\(1), - I2 => axaddr_offset_0(0), - I3 => \^axaddr_offset_r_reg[1]\, - I4 => \state_reg[1]_rep\, - I5 => \wrap_second_len_r_reg[2]\(1), - O => \^d\(0) + I0 => \wrap_second_len_r_reg[3]\(1), + I1 => \^axaddr_offset_r_reg[0]\, + I2 => \^axaddr_offset_r_reg[1]\, + I3 => \state_reg[1]_rep\, + I4 => \^axaddr_offset_r_reg[3]\, + I5 => \axaddr_offset_r[2]_i_2_n_0\, + O => \^wrap_second_len_r_reg[1]\ ); \wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( - INIT => X"D2D0FFFFD2D00000" + INIT => X"02FCFFFF02FC0000" ) port map ( - I0 => \^axaddr_offset_r_reg[1]\, - I1 => axaddr_offset_0(0), - I2 => \^axaddr_offset_r_reg[2]\, - I3 => \^axaddr_offset_r_reg[3]\(1), + I0 => \^axaddr_offset_r_reg[3]\, + I1 => \^axaddr_offset_r_reg[1]\, + I2 => \^axaddr_offset_r_reg[0]\, + I3 => \axaddr_offset_r[2]_i_2_n_0\, I4 => \state_reg[1]_rep\, - I5 => \wrap_second_len_r_reg[2]\(2), + I5 => \wrap_second_len_r_reg[3]\(2), O => \^d\(1) ); +\wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"EF00FFFFEF00EF00" + ) + port map ( + I0 => \^axaddr_offset_r_reg[0]\, + I1 => \^axaddr_offset_r_reg[1]\, + I2 => \axaddr_offset_r[2]_i_2_n_0\, + I3 => \wrap_second_len_r[3]_i_2__0_n_0\, + I4 => \state_reg[1]_rep\, + I5 => \wrap_second_len_r_reg[3]\(3), + O => \^d\(2) + ); \wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EEE222E2" ) port map ( - I0 => \axaddr_offset_r[2]_i_2__0_n_0\, + I0 => \axaddr_offset_r[2]_i_4_n_0\, I1 => \^q\(35), I2 => \^q\(4), I3 => \^q\(36), I4 => \^q\(6), I5 => \^axlen_cnt_reg[3]\, - O => \^wrap_second_len_r_reg[3]\ + O => \wrap_second_len_r[3]_i_2__0_n_0\ ); end STRUCTURE; library IEEE; @@ -8436,15 +8634,17 @@ entity system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 i s_axi_awready : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; - Q : out STD_LOGIC_VECTOR ( 53 downto 0 ); + Q : out STD_LOGIC_VECTOR ( 57 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); CO : out STD_LOGIC_VECTOR ( 0 to 0 ); O : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \wrap_cnt_r_reg[1]\ : out STD_LOGIC; + \wrap_second_len_r_reg[3]\ : out STD_LOGIC; axaddr_offset : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axaddr_offset_r_reg[1]\ : out STD_LOGIC; - \wrap_second_len_r_reg[3]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; + next_pending_r_reg_0 : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \m_axi_awaddr[10]\ : out STD_LOGIC; @@ -8453,15 +8653,16 @@ entity system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 i \aresetn_d_reg[1]_inv_0\ : in STD_LOGIC; aresetn : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \state_reg[1]_rep\ : in STD_LOGIC; + \axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \axaddr_offset_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; \state_reg[0]_rep\ : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; b_push : in STD_LOGIC; sel_first : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); - s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); @@ -8475,7 +8676,7 @@ end system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0; architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 is signal C : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \^q\ : STD_LOGIC_VECTOR ( 53 downto 0 ); + signal \^q\ : STD_LOGIC_VECTOR ( 57 downto 0 ); signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC; signal \axaddr_incr[0]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_12_n_0\ : STD_LOGIC; @@ -8502,8 +8703,9 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \axaddr_incr_reg[8]_i_6_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_3\ : STD_LOGIC; + signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \axaddr_offset_r[1]_i_3_n_0\ : STD_LOGIC; - signal \axaddr_offset_r[2]_i_2_n_0\ : STD_LOGIC; + signal \axaddr_offset_r[2]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_3_n_0\ : STD_LOGIC; signal \axaddr_offset_r[3]_i_2_n_0\ : STD_LOGIC; signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC; @@ -8511,10 +8713,11 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \m_payload_i_reg_n_0_[38]\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; + signal \^next_pending_r_reg_0\ : STD_LOGIC; signal \^s_axi_awready\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; - signal skid_buffer : STD_LOGIC_VECTOR ( 61 downto 0 ); + signal skid_buffer : STD_LOGIC_VECTOR ( 64 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; @@ -8552,10 +8755,11 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[48]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[49]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC; - signal \skid_buffer_reg_n_0_[52]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC; @@ -8566,78 +8770,89 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axic signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[62]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[63]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[64]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC; + signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \axaddr_offset_r[1]_i_3\ : label is "soft_lutpair42"; - attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_3\ : label is "soft_lutpair42"; - attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair65"; - attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair62"; - attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair64"; - attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair64"; - attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair63"; - attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair63"; - attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair62"; - attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair58"; - attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair61"; - attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair61"; - attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair69"; - attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair60"; - attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair60"; - attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair59"; - attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair59"; - attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair58"; - attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair57"; - attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair52"; - attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair57"; + attribute SOFT_HLUTNM of \axaddr_offset_r[1]_i_3\ : label is "soft_lutpair45"; + attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2__0\ : label is "soft_lutpair45"; + attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair70"; + attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair69"; + attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair67"; + attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair69"; + attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair68"; + attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair68"; + attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair67"; + attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair66"; + attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair62"; + attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair66"; + attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair74"; + attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair65"; + attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair65"; + attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair64"; + attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair64"; + attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair63"; + attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair63"; + attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair62"; + attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair56"; - attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair56"; - attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair69"; - attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair55"; - attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair55"; - attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair54"; - attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair54"; - attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair53"; - attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair53"; - attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair52"; - attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair43"; - attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair51"; - attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair68"; - attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair51"; - attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair50"; - attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair50"; - attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair49"; - attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair67"; - attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair49"; - attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair48"; - attribute SOFT_HLUTNM of \m_payload_i[52]_i_1\ : label is "soft_lutpair48"; - attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair47"; - attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair47"; - attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair46"; - attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair46"; - attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair45"; - attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair45"; - attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair44"; - attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair68"; - attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair44"; - attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair43"; - attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair67"; - attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair65"; - attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair66"; - attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair66"; - attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair41"; - attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair41"; + attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair61"; + attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair74"; + attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair60"; + attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair60"; + attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair59"; + attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair59"; + attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair58"; + attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair58"; + attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair57"; + attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair57"; + attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair56"; + attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair73"; + attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair55"; + attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair46"; + attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair55"; + attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair54"; + attribute SOFT_HLUTNM of \m_payload_i[48]_i_1\ : label is "soft_lutpair54"; + attribute SOFT_HLUTNM of \m_payload_i[49]_i_1\ : label is "soft_lutpair53"; + attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair72"; + attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair53"; + attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair52"; + attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair52"; + attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair51"; + attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair51"; + attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair50"; + attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair50"; + attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair49"; + attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair49"; + attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair73"; + attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair48"; + attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair48"; + attribute SOFT_HLUTNM of \m_payload_i[62]_i_1\ : label is "soft_lutpair47"; + attribute SOFT_HLUTNM of \m_payload_i[63]_i_1\ : label is "soft_lutpair47"; + attribute SOFT_HLUTNM of \m_payload_i[64]_i_1\ : label is "soft_lutpair46"; + attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair72"; + attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair70"; + attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair71"; + attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair71"; + attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair44"; + attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair44"; begin - Q(53 downto 0) <= \^q\(53 downto 0); + Q(57 downto 0) <= \^q\(57 downto 0); + axaddr_offset(2 downto 0) <= \^axaddr_offset\(2 downto 0); \axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\; \axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; + next_pending_r_reg_0 <= \^next_pending_r_reg_0\; s_axi_awready <= \^s_axi_awready\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; + \wrap_second_len_r_reg[3]\ <= \^wrap_second_len_r_reg[3]\; \aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"7" @@ -8851,7 +9066,7 @@ begin ) port map ( I0 => \^axaddr_offset_r_reg[1]\, - O => axaddr_offset(0) + O => \^axaddr_offset\(0) ); \axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT6 generic map( @@ -8883,13 +9098,13 @@ begin port map ( I0 => \axaddr_offset_r_reg[3]\(1), I1 => \state_reg[1]_rep\, - I2 => \axaddr_offset_r[2]_i_2_n_0\, + I2 => \axaddr_offset_r[2]_i_2__0_n_0\, I3 => \axaddr_offset_r[2]_i_3_n_0\, I4 => \^q\(35), I5 => \^q\(40), - O => axaddr_offset(1) + O => \^axaddr_offset\(1) ); -\axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT3 +\axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) @@ -8897,7 +9112,7 @@ begin I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(3), - O => \axaddr_offset_r[2]_i_2_n_0\ + O => \axaddr_offset_r[2]_i_2__0_n_0\ ); \axaddr_offset_r[2]_i_3\: unisim.vcomponents.LUT3 generic map( @@ -8920,7 +9135,7 @@ begin I3 => \^m_valid_i_reg_0\, I4 => \state_reg[0]_rep\, I5 => \axaddr_offset_r_reg[3]\(2), - O => axaddr_offset(2) + O => \^axaddr_offset\(2) ); \axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( @@ -8935,7 +9150,7 @@ begin I5 => \^q\(3), O => \axaddr_offset_r[3]_i_2_n_0\ ); -\axlen_cnt[3]_i_3\: unisim.vcomponents.LUT4 +\axlen_cnt[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) @@ -9325,6 +9540,26 @@ begin I2 => \skid_buffer_reg_n_0_[47]\, O => skid_buffer(47) ); +\m_payload_i[48]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_awlen(4), + I1 => \^s_axi_awready\, + I2 => \skid_buffer_reg_n_0_[48]\, + O => skid_buffer(48) + ); +\m_payload_i[49]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_awlen(5), + I1 => \^s_axi_awready\, + I2 => \skid_buffer_reg_n_0_[49]\, + O => skid_buffer(49) + ); \m_payload_i[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" @@ -9340,7 +9575,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(0), + I0 => s_axi_awlen(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[50]\, O => skid_buffer(50) @@ -9350,27 +9585,17 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(1), + I0 => s_axi_awlen(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[51]\, O => skid_buffer(51) ); -\m_payload_i[52]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"B8" - ) - port map ( - I0 => s_axi_awid(2), - I1 => \^s_axi_awready\, - I2 => \skid_buffer_reg_n_0_[52]\, - O => skid_buffer(52) - ); \m_payload_i[53]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( - I0 => s_axi_awid(3), + I0 => s_axi_awid(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[53]\, O => skid_buffer(53) @@ -9380,7 +9605,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(4), + I0 => s_axi_awid(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[54]\, O => skid_buffer(54) @@ -9390,7 +9615,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(5), + I0 => s_axi_awid(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[55]\, O => skid_buffer(55) @@ -9400,7 +9625,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(6), + I0 => s_axi_awid(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[56]\, O => skid_buffer(56) @@ -9410,7 +9635,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(7), + I0 => s_axi_awid(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[57]\, O => skid_buffer(57) @@ -9420,7 +9645,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(8), + I0 => s_axi_awid(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[58]\, O => skid_buffer(58) @@ -9430,7 +9655,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(9), + I0 => s_axi_awid(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[59]\, O => skid_buffer(59) @@ -9450,7 +9675,7 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(10), + I0 => s_axi_awid(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[60]\, O => skid_buffer(60) @@ -9460,11 +9685,41 @@ begin INIT => X"B8" ) port map ( - I0 => s_axi_awid(11), + I0 => s_axi_awid(8), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[61]\, O => skid_buffer(61) ); +\m_payload_i[62]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_awid(9), + I1 => \^s_axi_awready\, + I2 => \skid_buffer_reg_n_0_[62]\, + O => skid_buffer(62) + ); +\m_payload_i[63]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_awid(10), + I1 => \^s_axi_awready\, + I2 => \skid_buffer_reg_n_0_[63]\, + O => skid_buffer(63) + ); +\m_payload_i[64]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => s_axi_awid(11), + I1 => \^s_axi_awready\, + I2 => \skid_buffer_reg_n_0_[64]\, + O => skid_buffer(64) + ); \m_payload_i[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" @@ -9801,6 +10056,22 @@ begin Q => \^q\(41), R => '0' ); +\m_payload_i_reg[48]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => E(0), + D => skid_buffer(48), + Q => \^q\(42), + R => '0' + ); +\m_payload_i_reg[49]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => E(0), + D => skid_buffer(49), + Q => \^q\(43), + R => '0' + ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, @@ -9814,7 +10085,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(50), - Q => \^q\(42), + Q => \^q\(44), R => '0' ); \m_payload_i_reg[51]\: unisim.vcomponents.FDRE @@ -9822,15 +10093,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(51), - Q => \^q\(43), - R => '0' - ); -\m_payload_i_reg[52]\: unisim.vcomponents.FDRE - port map ( - C => aclk, - CE => E(0), - D => skid_buffer(52), - Q => \^q\(44), + Q => \^q\(45), R => '0' ); \m_payload_i_reg[53]\: unisim.vcomponents.FDRE @@ -9838,7 +10101,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(53), - Q => \^q\(45), + Q => \^q\(46), R => '0' ); \m_payload_i_reg[54]\: unisim.vcomponents.FDRE @@ -9846,7 +10109,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(54), - Q => \^q\(46), + Q => \^q\(47), R => '0' ); \m_payload_i_reg[55]\: unisim.vcomponents.FDRE @@ -9854,7 +10117,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(55), - Q => \^q\(47), + Q => \^q\(48), R => '0' ); \m_payload_i_reg[56]\: unisim.vcomponents.FDRE @@ -9862,7 +10125,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(56), - Q => \^q\(48), + Q => \^q\(49), R => '0' ); \m_payload_i_reg[57]\: unisim.vcomponents.FDRE @@ -9870,7 +10133,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(57), - Q => \^q\(49), + Q => \^q\(50), R => '0' ); \m_payload_i_reg[58]\: unisim.vcomponents.FDRE @@ -9878,7 +10141,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(58), - Q => \^q\(50), + Q => \^q\(51), R => '0' ); \m_payload_i_reg[59]\: unisim.vcomponents.FDRE @@ -9886,7 +10149,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(59), - Q => \^q\(51), + Q => \^q\(52), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE @@ -9902,7 +10165,7 @@ begin C => aclk, CE => E(0), D => skid_buffer(60), - Q => \^q\(52), + Q => \^q\(53), R => '0' ); \m_payload_i_reg[61]\: unisim.vcomponents.FDRE @@ -9910,7 +10173,31 @@ begin C => aclk, CE => E(0), D => skid_buffer(61), - Q => \^q\(53), + Q => \^q\(54), + R => '0' + ); +\m_payload_i_reg[62]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => E(0), + D => skid_buffer(62), + Q => \^q\(55), + R => '0' + ); +\m_payload_i_reg[63]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => E(0), + D => skid_buffer(63), + Q => \^q\(56), + R => '0' + ); +\m_payload_i_reg[64]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => E(0), + D => skid_buffer(64), + Q => \^q\(57), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE @@ -9964,18 +10251,29 @@ m_valid_i_reg: unisim.vcomponents.FDRE Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]_inv_0\ ); -next_pending_r_i_4: unisim.vcomponents.LUT5 +\next_pending_r_i_2__0\: unisim.vcomponents.LUT5 generic map( - INIT => X"AAAAAAA8" + INIT => X"FFFFFFFD" ) port map ( - I0 => \state_reg[1]_rep\, - I1 => \^q\(38), - I2 => \^q\(41), - I3 => \^q\(39), - I4 => \^q\(40), + I0 => \^next_pending_r_reg_0\, + I1 => \^q\(42), + I2 => \^q\(43), + I3 => \^q\(44), + I4 => \^q\(45), O => next_pending_r_reg ); +\next_pending_r_i_3__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => \^q\(40), + I1 => \^q\(39), + I2 => \^q\(41), + I3 => \^q\(38), + O => \^next_pending_r_reg_0\ + ); \s_ready_i_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" @@ -10299,6 +10597,22 @@ s_ready_i_reg: unisim.vcomponents.FDRE Q => \skid_buffer_reg_n_0_[47]\, R => '0' ); +\skid_buffer_reg[48]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_awready\, + D => s_axi_awlen(4), + Q => \skid_buffer_reg_n_0_[48]\, + R => '0' + ); +\skid_buffer_reg[49]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_awready\, + D => s_axi_awlen(5), + Q => \skid_buffer_reg_n_0_[49]\, + R => '0' + ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, @@ -10311,7 +10625,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(0), + D => s_axi_awlen(6), Q => \skid_buffer_reg_n_0_[50]\, R => '0' ); @@ -10319,23 +10633,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(1), - Q => \skid_buffer_reg_n_0_[51]\, - R => '0' - ); -\skid_buffer_reg[52]\: unisim.vcomponents.FDRE - port map ( - C => aclk, - CE => \^s_axi_awready\, - D => s_axi_awid(2), - Q => \skid_buffer_reg_n_0_[52]\, + D => s_axi_awlen(7), + Q => \skid_buffer_reg_n_0_[51]\, R => '0' ); \skid_buffer_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(3), + D => s_axi_awid(0), Q => \skid_buffer_reg_n_0_[53]\, R => '0' ); @@ -10343,7 +10649,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(4), + D => s_axi_awid(1), Q => \skid_buffer_reg_n_0_[54]\, R => '0' ); @@ -10351,7 +10657,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(5), + D => s_axi_awid(2), Q => \skid_buffer_reg_n_0_[55]\, R => '0' ); @@ -10359,7 +10665,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(6), + D => s_axi_awid(3), Q => \skid_buffer_reg_n_0_[56]\, R => '0' ); @@ -10367,7 +10673,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(7), + D => s_axi_awid(4), Q => \skid_buffer_reg_n_0_[57]\, R => '0' ); @@ -10375,7 +10681,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(8), + D => s_axi_awid(5), Q => \skid_buffer_reg_n_0_[58]\, R => '0' ); @@ -10383,7 +10689,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(9), + D => s_axi_awid(6), Q => \skid_buffer_reg_n_0_[59]\, R => '0' ); @@ -10399,7 +10705,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(10), + D => s_axi_awid(7), Q => \skid_buffer_reg_n_0_[60]\, R => '0' ); @@ -10407,10 +10713,34 @@ s_ready_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, - D => s_axi_awid(11), + D => s_axi_awid(8), Q => \skid_buffer_reg_n_0_[61]\, R => '0' ); +\skid_buffer_reg[62]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_awready\, + D => s_axi_awid(9), + Q => \skid_buffer_reg_n_0_[62]\, + R => '0' + ); +\skid_buffer_reg[63]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_awready\, + D => s_axi_awid(10), + Q => \skid_buffer_reg_n_0_[63]\, + R => '0' + ); +\skid_buffer_reg[64]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^s_axi_awready\, + D => s_axi_awid(11), + Q => \skid_buffer_reg_n_0_[64]\, + R => '0' + ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, @@ -10538,18 +10868,31 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => \^q\(41), O => \wrap_boundary_axaddr_r_reg[6]\(6) ); +\wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFFFBAFFFFFFFF" + ) + port map ( + I0 => \^wrap_second_len_r_reg[3]\, + I1 => \state_reg[1]_rep\, + I2 => \axaddr_offset_r_reg[3]\(2), + I3 => \^axaddr_offset\(1), + I4 => \axaddr_offset_r_reg[0]_0\(0), + I5 => \^axaddr_offset_r_reg[1]\, + O => \wrap_cnt_r_reg[1]\ + ); \wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EEE222E2" ) port map ( - I0 => \axaddr_offset_r[2]_i_2_n_0\, + I0 => \axaddr_offset_r[2]_i_2__0_n_0\, I1 => \^q\(35), I2 => \^q\(4), I3 => \^q\(36), I4 => \^q\(6), I5 => \^axlen_cnt_reg[3]\, - O => \wrap_second_len_r_reg[3]\ + O => \^wrap_second_len_r_reg[3]\ ); end STRUCTURE; library IEEE; @@ -10609,22 +10952,22 @@ architecture STRUCTURE of \system_design_auto_pc_2_axi_register_slice_v2_1_9_axi signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair77"; - attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair72"; - attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair71"; - attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair72"; - attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair71"; - attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair77"; - attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair76"; - attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair76"; - attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair75"; - attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair75"; - attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair74"; - attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair74"; - attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair73"; - attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair73"; - attribute SOFT_HLUTNM of s_ready_i_i_1 : label is "soft_lutpair70"; - attribute SOFT_HLUTNM of shandshake_r_i_1 : label is "soft_lutpair70"; + attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair82"; + attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair77"; + attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair76"; + attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair77"; + attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair76"; + attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair82"; + attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair81"; + attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair81"; + attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair80"; + attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair80"; + attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair79"; + attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair79"; + attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair78"; + attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair78"; + attribute SOFT_HLUTNM of s_ready_i_i_1 : label is "soft_lutpair75"; + attribute SOFT_HLUTNM of shandshake_r_i_1 : label is "soft_lutpair75"; begin s_axi_bvalid <= \^s_axi_bvalid\; \skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\; @@ -11171,52 +11514,52 @@ architecture STRUCTURE of \system_design_auto_pc_2_axi_register_slice_v2_1_9_axi signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair96"; - attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair95"; - attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair95"; - attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair94"; - attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair94"; - attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair93"; - attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair93"; - attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair92"; - attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair92"; - attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair91"; - attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair100"; - attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair91"; - attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair90"; - attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair90"; - attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair89"; - attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair89"; - attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair88"; - attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair88"; - attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair87"; - attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair87"; - attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair86"; - attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair100"; - attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair86"; - attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair85"; - attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair85"; - attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair84"; - attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair84"; - attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair83"; - attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair83"; - attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair82"; - attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair82"; - attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair81"; - attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair99"; - attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair81"; - attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair80"; - attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair80"; - attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair79"; - attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair78"; - attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair79"; - attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair78"; - attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair99"; - attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair98"; - attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair98"; - attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair97"; - attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair97"; - attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair96"; + attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair101"; + attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair100"; + attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair100"; + attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair99"; + attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair99"; + attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair98"; + attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair98"; + attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair97"; + attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair97"; + attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair96"; + attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair105"; + attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair96"; + attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair95"; + attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair95"; + attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair94"; + attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair94"; + attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair93"; + attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair93"; + attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair92"; + attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair92"; + attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair91"; + attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair105"; + attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair91"; + attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair90"; + attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair90"; + attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair89"; + attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair89"; + attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair88"; + attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair88"; + attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair87"; + attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair87"; + attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair86"; + attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair104"; + attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair86"; + attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair85"; + attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair85"; + attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair84"; + attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair83"; + attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair84"; + attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair83"; + attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair104"; + attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair103"; + attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair103"; + attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair102"; + attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair102"; + attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair101"; begin s_axi_rvalid <= \^s_axi_rvalid\; \skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\; @@ -12509,7 +12852,7 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel is m_axi_bvalid : in STD_LOGIC; areset_d1 : in STD_LOGIC; si_rs_bready : in STD_LOGIC; - \in\ : in STD_LOGIC_VECTOR ( 15 downto 0 ); + \in\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); attribute ORIG_REF_NAME : string; @@ -12517,11 +12860,12 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel is end system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel; architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel is - signal bid_fifo_0_n_5 : STD_LOGIC; signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC; signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal bresp_fifo_0_n_2 : STD_LOGIC; signal bresp_push : STD_LOGIC; - signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^cnt_read_reg[0]_rep__0\ : STD_LOGIC; + signal \^cnt_read_reg[1]_rep__1\ : STD_LOGIC; signal mhandshake : STD_LOGIC; signal mhandshake_r : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 ); @@ -12533,13 +12877,15 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal shandshake_r : STD_LOGIC; signal \^si_rs_bvalid\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair113"; - attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair113"; - attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair111"; - attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair111"; - attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair112"; - attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair112"; + attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair117"; + attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair117"; + attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair115"; + attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair115"; + attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair116"; + attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair116"; begin + \cnt_read_reg[0]_rep__0\ <= \^cnt_read_reg[0]_rep__0\; + \cnt_read_reg[1]_rep__1\ <= \^cnt_read_reg[1]_rep__1\; si_rs_bvalid <= \^si_rs_bvalid\; bid_fifo_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo port map ( @@ -12549,16 +12895,12 @@ bid_fifo_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2 areset_d1 => areset_d1, b_push => b_push, bresp_push => bresp_push, - bvalid_i_reg => bid_fifo_0_n_5, - \cnt_read_reg[0]_rep__0_0\ => \cnt_read_reg[0]_rep__0\, - \cnt_read_reg[1]_0\(1 downto 0) => cnt_read(1 downto 0), - \cnt_read_reg[1]_rep__1_0\ => \cnt_read_reg[1]_rep__1\, - \in\(15 downto 0) => \in\(15 downto 0), + \cnt_read_reg[0]_rep__0_0\ => \^cnt_read_reg[0]_rep__0\, + \cnt_read_reg[1]_rep__1_0\ => \^cnt_read_reg[1]_rep__1\, + \in\(19 downto 0) => \in\(19 downto 0), mhandshake_r => mhandshake_r, \out\(11 downto 0) => \out\(11 downto 0), shandshake_r => shandshake_r, - si_rs_bready => si_rs_bready, - si_rs_bvalid => \^si_rs_bvalid\, \state_reg[0]_rep\ => \state_reg[0]_rep\ ); \bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1 @@ -12722,10 +13064,12 @@ bid_fifo_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2 ); bresp_fifo_0: entity work.\system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized0\ port map ( - Q(1 downto 0) => cnt_read(1 downto 0), aclk => aclk, areset_d1 => areset_d1, bresp_push => bresp_push, + bvalid_i_reg => bresp_fifo_0_n_2, + \cnt_read_reg[0]_rep__0\ => \^cnt_read_reg[0]_rep__0\, + \cnt_read_reg[1]_rep__1\ => \^cnt_read_reg[1]_rep__1\, \in\(1) => \s_bresp_acc_reg_n_0_[1]\, \in\(0) => \s_bresp_acc_reg_n_0_[0]\, m_axi_bready => m_axi_bready, @@ -12733,13 +13077,15 @@ bresp_fifo_0: entity work.\system_design_auto_pc_2_axi_protocol_converter_v2_1_9 mhandshake => mhandshake, mhandshake_r => mhandshake_r, shandshake_r => shandshake_r, + si_rs_bready => si_rs_bready, + si_rs_bvalid => \^si_rs_bvalid\, \skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0) ); bvalid_i_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => bid_fifo_0_n_5, + D => bresp_fifo_0_n_2, Q => \^si_rs_bvalid\, R => '0' ); @@ -12807,22 +13153,20 @@ use UNISIM.VCOMPONENTS.ALL; entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator is port ( next_pending_r_reg : out STD_LOGIC; - next_pending_r_reg_0 : out STD_LOGIC; + wrap_next_pending : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; \sel_first__0\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axlen_cnt_reg[3]\ : out STD_LOGIC; - next_pending_r_reg_1 : out STD_LOGIC; + \axlen_cnt_reg[6]\ : out STD_LOGIC; \state_reg[0]_rep\ : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); - \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; - wrap_next_pending : in STD_LOGIC; sel_first_i : in STD_LOGIC; \m_payload_i_reg[39]\ : in STD_LOGIC; \m_payload_i_reg[39]_0\ : in STD_LOGIC; @@ -12832,21 +13176,23 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator sel_first_reg_3 : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); - \m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); + \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 22 downto 0 ); CO : in STD_LOGIC_VECTOR ( 0 to 0 ); + \next\ : in STD_LOGIC; + \m_payload_i_reg[46]\ : in STD_LOGIC; \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); si_rs_awvalid : in STD_LOGIC; - \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; + D : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC; + \axaddr_offset_r_reg[1]\ : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); - D : in STD_LOGIC_VECTOR ( 0 to 0 ); - m_valid_i_reg_0 : in STD_LOGIC; - \m_payload_i_reg[47]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \state_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); - \state_reg[0]_rep_0\ : in STD_LOGIC + \cnt_read_reg[0]_rep__0\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator : entity is "axi_protocol_converter_v2_1_9_b2s_cmd_translator"; @@ -12864,7 +13210,6 @@ begin incr_cmd_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd port map ( CO(0) => CO(0), - D(0) => D(0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(0) => Q(0), @@ -12873,19 +13218,20 @@ incr_cmd_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2 axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[11]_0\ => \axaddr_incr_reg_11__s_net_1\, \axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), - \axlen_cnt_reg[3]_0\ => \axlen_cnt_reg[3]\, + \axlen_cnt_reg[6]_0\ => \axlen_cnt_reg[6]\, + \cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\, incr_next_pending => incr_next_pending, \m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0), - \m_payload_i_reg[46]\(7 downto 6) => \m_payload_i_reg[47]_0\(17 downto 16), - \m_payload_i_reg[46]\(5 downto 4) => \m_payload_i_reg[47]_0\(13 downto 12), - \m_payload_i_reg[46]\(3 downto 0) => \m_payload_i_reg[47]_0\(3 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, + \m_payload_i_reg[51]\(11 downto 8) => \m_payload_i_reg[51]\(22 downto 19), + \m_payload_i_reg[51]\(7 downto 6) => \m_payload_i_reg[51]\(17 downto 16), + \m_payload_i_reg[51]\(5 downto 4) => \m_payload_i_reg[51]\(13 downto 12), + \m_payload_i_reg[51]\(3 downto 0) => \m_payload_i_reg[51]\(3 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), - m_valid_i_reg_0 => m_valid_i_reg_0, next_pending_r_reg_0 => next_pending_r_reg, sel_first_reg_0 => sel_first_reg_1, sel_first_reg_1 => sel_first_reg_2, - \state_reg[0]_rep\ => \state_reg[0]_rep_0\, + \state_reg[0]\(0) => \state_reg[0]\(0), \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0) ); \memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3 @@ -12894,7 +13240,7 @@ incr_cmd_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2 ) port map ( I0 => s_axburst_eq1, - I1 => \m_payload_i_reg[47]_0\(14), + I1 => \m_payload_i_reg[51]\(14), I2 => s_axburst_eq0, O => \state_reg[0]_rep\ ); @@ -12924,28 +13270,29 @@ sel_first_reg: unisim.vcomponents.FDRE ); wrap_cmd_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd port map ( + D(2 downto 0) => D(2 downto 0), E(0) => E(0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[3]\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), + \axaddr_offset_r_reg[1]_0\ => \axaddr_offset_r_reg[1]\, \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), - \cnt_read_reg[1]_rep__1\ => \cnt_read_reg[1]_rep__1\, + \axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\, m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, - \m_payload_i_reg[47]\(18 downto 0) => \m_payload_i_reg[47]_0\(18 downto 0), - \m_payload_i_reg[47]_0\(3 downto 0) => \m_payload_i_reg[47]_1\(3 downto 0), + \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, + \m_payload_i_reg[47]\(18 downto 0) => \m_payload_i_reg[51]\(18 downto 0), + \m_payload_i_reg[47]_0\(3 downto 0) => \m_payload_i_reg[47]_0\(3 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), - next_pending_r_reg_0 => next_pending_r_reg_0, - next_pending_r_reg_1 => next_pending_r_reg_1, + \next\ => \next\, sel_first_reg_0 => \sel_first__0\, sel_first_reg_1 => sel_first_reg_3, si_rs_awvalid => si_rs_awvalid, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0), wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), - \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0), - \wrap_second_len_r_reg[3]_2\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0) + \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0) ); end STRUCTURE; library IEEE; @@ -12960,14 +13307,12 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; sel_first_reg_1 : out STD_LOGIC; - Q : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axlen_cnt_reg[1]\ : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; r_rlast : out STD_LOGIC; - \state_reg[0]_rep\ : out STD_LOGIC; + \state_reg[1]_rep\ : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); - \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; wrap_next_pending : in STD_LOGIC; @@ -12979,24 +13324,22 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_ sel_first_reg_3 : in STD_LOGIC; sel_first_reg_4 : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; - E : in STD_LOGIC_VECTOR ( 0 to 0 ); - \m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); - \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); si_rs_arvalid : in STD_LOGIC; + \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 22 downto 0 ); + E : in STD_LOGIC_VECTOR ( 0 to 0 ); CO : in STD_LOGIC_VECTOR ( 0 to 0 ); - \state_reg[1]_rep\ : in STD_LOGIC; - \m_payload_i_reg[44]\ : in STD_LOGIC; + \state_reg[0]_rep\ : in STD_LOGIC; + \state_reg[1]_rep_0\ : in STD_LOGIC; + \state_reg[1]_rep_1\ : in STD_LOGIC; + \m_payload_i_reg[48]\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; - \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC; - \m_payload_i_reg[35]\ : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); - D : in STD_LOGIC_VECTOR ( 0 to 0 ); - \state_reg[1]_0\ : in STD_LOGIC; - \m_payload_i_reg[47]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + axaddr_offset : in STD_LOGIC_VECTOR ( 3 downto 0 ); + D : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_arready : in STD_LOGIC ); @@ -13011,39 +13354,37 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal s_axburst_eq0 : STD_LOGIC; signal s_axburst_eq1 : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \state[1]_i_2\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \state[1]_i_2\ : label is "soft_lutpair7"; begin \axaddr_incr_reg[11]\ <= \axaddr_incr_reg_11__s_net_1\; \axaddr_incr_reg[3]\(3 downto 0) <= \^axaddr_incr_reg[3]\(3 downto 0); incr_cmd_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_incr_cmd_2 port map ( CO(0) => CO(0), - D(0) => D(0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), - Q(0) => Q(0), + Q(1 downto 0) => Q(1 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[11]_0\ => \axaddr_incr_reg_11__s_net_1\, \axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), - \axlen_cnt_reg[1]_0\ => \axlen_cnt_reg[1]\, incr_next_pending => incr_next_pending, m_axi_arready => m_axi_arready, \m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0), \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), - \m_payload_i_reg[44]\ => \m_payload_i_reg[44]\, - \m_payload_i_reg[46]\(7 downto 6) => \m_payload_i_reg[47]_0\(17 downto 16), - \m_payload_i_reg[46]\(5 downto 4) => \m_payload_i_reg[47]_0\(13 downto 12), - \m_payload_i_reg[46]\(3 downto 0) => \m_payload_i_reg[47]_0\(3 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, + \m_payload_i_reg[48]\ => \m_payload_i_reg[48]\, + \m_payload_i_reg[51]\(12 downto 9) => \m_payload_i_reg[51]\(22 downto 19), + \m_payload_i_reg[51]\(8 downto 6) => \m_payload_i_reg[51]\(17 downto 15), + \m_payload_i_reg[51]\(5 downto 4) => \m_payload_i_reg[51]\(13 downto 12), + \m_payload_i_reg[51]\(3 downto 0) => \m_payload_i_reg[51]\(3 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), sel_first_reg_0 => sel_first_reg_2, sel_first_reg_1 => sel_first_reg_3, - \state_reg[1]\ => \state_reg[1]_0\, - \state_reg[1]_0\(1 downto 0) => \state_reg[1]\(1 downto 0), - \state_reg[1]_rep\ => \state_reg[1]_rep\ + si_rs_arvalid => si_rs_arvalid, + \state_reg[1]_rep\ => \state_reg[1]_rep_1\ ); r_rlast_r_i_1: unisim.vcomponents.LUT3 generic map( @@ -13051,7 +13392,7 @@ r_rlast_r_i_1: unisim.vcomponents.LUT3 ) port map ( I0 => s_axburst_eq0, - I1 => \m_payload_i_reg[47]_0\(14), + I1 => \m_payload_i_reg[51]\(14), I2 => s_axburst_eq1, O => r_rlast ); @@ -13085,23 +13426,22 @@ sel_first_reg: unisim.vcomponents.FDRE ) port map ( I0 => s_axburst_eq1, - I1 => \m_payload_i_reg[47]_0\(14), + I1 => \m_payload_i_reg[51]\(14), I2 => s_axburst_eq0, - O => \state_reg[0]_rep\ + O => \state_reg[1]_rep\ ); wrap_cmd_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wrap_cmd_3 port map ( + D(3 downto 0) => D(3 downto 0), E(0) => E(0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[3]\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), + axaddr_offset(3 downto 0) => axaddr_offset(3 downto 0), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), - \axaddr_offset_r_reg[3]_1\ => \axaddr_offset_r_reg[3]_0\, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), - \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, - \m_payload_i_reg[47]\(18 downto 0) => \m_payload_i_reg[47]_0\(18 downto 0), - \m_payload_i_reg[47]_0\(3 downto 0) => \m_payload_i_reg[47]_1\(3 downto 0), + \m_payload_i_reg[47]\(18 downto 0) => \m_payload_i_reg[51]\(18 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), next_pending_r_reg_0 => next_pending_r_reg, @@ -13109,12 +13449,12 @@ wrap_cmd_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2 sel_first_reg_0 => sel_first_reg_1, sel_first_reg_1 => sel_first_reg_4, si_rs_arvalid => si_rs_arvalid, - \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0), - \state_reg[1]_rep\ => \state_reg[1]_rep\, + \state_reg[0]_rep\ => \state_reg[0]_rep\, + \state_reg[1]_rep\ => \state_reg[1]_rep_0\, + \state_reg[1]_rep_0\ => \state_reg[1]_rep_1\, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), - \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0), - \wrap_second_len_r_reg[3]_2\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0) + \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0) ); end STRUCTURE; library IEEE; @@ -13124,7 +13464,7 @@ use UNISIM.VCOMPONENTS.ALL; entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel is port ( m_valid_i_reg : out STD_LOGIC; - \state_reg[1]_rep\ : out STD_LOGIC; + \state_reg[0]_rep\ : out STD_LOGIC; m_axi_rready : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); \skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); @@ -13266,7 +13606,7 @@ rd_data_fifo_0: entity work.\system_design_auto_pc_2_axi_protocol_converter_v2_1 port map ( aclk => aclk, areset_d1 => areset_d1, - \cnt_read_reg[1]_rep__2_0\ => rd_data_fifo_0_n_0, + \cnt_read_reg[1]_rep__3_0\ => rd_data_fifo_0_n_0, \cnt_read_reg[2]_rep__0_0\ => transaction_fifo_0_n_1, \in\(33 downto 0) => \in\(33 downto 0), m_axi_rready => m_axi_rready, @@ -13274,7 +13614,7 @@ rd_data_fifo_0: entity work.\system_design_auto_pc_2_axi_protocol_converter_v2_1 m_valid_i_reg => \^m_valid_i_reg\, \out\(33 downto 0) => \out\(33 downto 0), si_rs_rready => si_rs_rready, - \state_reg[1]_rep\ => rd_data_fifo_0_n_3 + \state_reg[0]_rep\ => rd_data_fifo_0_n_3 ); transaction_fifo_0: entity work.\system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_simple_fifo__parameterized2\ port map ( @@ -13288,7 +13628,7 @@ transaction_fifo_0: entity work.\system_design_auto_pc_2_axi_protocol_converter_ s_ready_i_reg => rd_data_fifo_0_n_0, si_rs_rready => si_rs_rready, \skid_buffer_reg[46]\(12 downto 0) => \skid_buffer_reg[46]\(12 downto 0), - \state_reg[1]_rep\ => \state_reg[1]_rep\ + \state_reg[0]_rep\ => \state_reg[0]_rep\ ); end STRUCTURE; library IEEE; @@ -13305,8 +13645,10 @@ entity system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice is si_rs_arvalid : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; si_rs_rready : out STD_LOGIC; - Q : out STD_LOGIC_VECTOR ( 53 downto 0 ); - \s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 53 downto 0 ); + \wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + D : out STD_LOGIC_VECTOR ( 3 downto 0 ); + Q : out STD_LOGIC_VECTOR ( 57 downto 0 ); + \s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 57 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); CO : out STD_LOGIC_VECTOR ( 0 to 0 ); O : out STD_LOGIC_VECTOR ( 3 downto 0 ); @@ -13314,24 +13656,21 @@ entity system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice is \axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \wrap_cnt_r_reg[1]\ : out STD_LOGIC; + \wrap_second_len_r_reg[3]\ : out STD_LOGIC; axaddr_offset : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axaddr_offset_r_reg[1]\ : out STD_LOGIC; - \wrap_second_len_r_reg[3]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; - shandshake : out STD_LOGIC; - \wrap_cnt_r_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - D : out STD_LOGIC_VECTOR ( 1 downto 0 ); - \wrap_cnt_r_reg[2]_0\ : out STD_LOGIC; - \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); - \axaddr_offset_r_reg[1]_0\ : out STD_LOGIC; - \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; + shandshake : out STD_LOGIC; + axaddr_offset_0 : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[3]_0\ : out STD_LOGIC; + next_pending_r_reg_1 : out STD_LOGIC; + next_pending_r_reg_2 : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); - \axaddr_offset_r_reg[0]_0\ : out STD_LOGIC; \m_axi_awaddr[10]\ : out STD_LOGIC; \m_axi_araddr[10]\ : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); @@ -13343,31 +13682,32 @@ entity system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice is s_axi_rready : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \state_reg[1]_rep\ : in STD_LOGIC; + \axaddr_offset_r_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \axaddr_offset_r_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; \state_reg[0]_rep\ : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; b_push : in STD_LOGIC; si_rs_bvalid : in STD_LOGIC; - \wrap_second_len_r_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \state_reg[1]_rep_1\ : in STD_LOGIC; - axaddr_offset_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); - \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); - \state_reg[1]_rep_2\ : in STD_LOGIC; + \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[0]_rep_0\ : in STD_LOGIC; + \state_reg[1]_rep_2\ : in STD_LOGIC; sel_first : in STD_LOGIC; sel_first_1 : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); - s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); - s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); @@ -13388,37 +13728,37 @@ end system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice; architecture STRUCTURE of system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice is signal ar_pipe_n_2 : STD_LOGIC; signal aw_pipe_n_1 : STD_LOGIC; - signal aw_pipe_n_86 : STD_LOGIC; + signal aw_pipe_n_92 : STD_LOGIC; begin ar_pipe: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice port map ( - D(1 downto 0) => D(1 downto 0), - Q(53 downto 0) => \s_arid_r_reg[11]\(53 downto 0), + D(2 downto 1) => D(3 downto 2), + D(0) => D(0), + Q(57 downto 0) => \s_arid_r_reg[11]\(57 downto 0), aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, - \aresetn_d_reg[0]_0\ => aw_pipe_n_86, + \aresetn_d_reg[0]_0\ => aw_pipe_n_92, \axaddr_incr_reg[11]\(3 downto 0) => \axaddr_incr_reg[11]_0\(3 downto 0), \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_incr_reg[3]_0\(3 downto 0) => \axaddr_incr_reg[3]_0\(3 downto 0), \axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0), \axaddr_incr_reg[7]_0\(0) => \axaddr_incr_reg[7]_0\(0), - axaddr_offset_0(0) => axaddr_offset_0(0), - \axaddr_offset_r_reg[0]\ => \axaddr_offset_r_reg[0]_0\, - \axaddr_offset_r_reg[1]\ => \axaddr_offset_r_reg[1]_0\, - \axaddr_offset_r_reg[2]\ => \axaddr_offset_r_reg[3]\(1), - \axaddr_offset_r_reg[3]\(1) => \axaddr_offset_r_reg[3]\(2), - \axaddr_offset_r_reg[3]\(0) => \axaddr_offset_r_reg[3]\(0), - \axaddr_offset_r_reg[3]_0\(2 downto 0) => \axaddr_offset_r_reg[3]_1\(2 downto 0), + axaddr_offset_0(0) => axaddr_offset_0(2), + \axaddr_offset_r_reg[0]\ => axaddr_offset_0(0), + \axaddr_offset_r_reg[1]\ => axaddr_offset_0(1), + \axaddr_offset_r_reg[3]\ => axaddr_offset_0(3), + \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]_0\(3 downto 0), \axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\, \m_axi_araddr[10]\ => \m_axi_araddr[10]\, \m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), m_valid_i0 => m_valid_i0, m_valid_i_reg_0 => ar_pipe_n_2, - next_pending_r_reg => next_pending_r_reg_0, + next_pending_r_reg => next_pending_r_reg_1, + next_pending_r_reg_0 => next_pending_r_reg_2, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), - s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), + s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), @@ -13426,41 +13766,43 @@ ar_pipe: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_regi s_ready_i_reg_0 => si_rs_arvalid, sel_first_1 => sel_first_1, \state_reg[0]_rep\ => \state_reg[0]_rep_0\, + \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep_1\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_2\, \state_reg[1]_rep_1\(0) => \state_reg[1]_rep_3\(0), \wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0), - \wrap_cnt_r_reg[2]\(0) => \wrap_cnt_r_reg[2]\(0), - \wrap_cnt_r_reg[2]_0\ => \wrap_cnt_r_reg[2]_0\, - \wrap_second_len_r_reg[2]\(2 downto 0) => \wrap_second_len_r_reg[2]\(2 downto 0), - \wrap_second_len_r_reg[3]\ => \wrap_second_len_r_reg[3]_0\ + \wrap_cnt_r_reg[3]\(3 downto 0) => \wrap_cnt_r_reg[3]\(3 downto 0), + \wrap_second_len_r_reg[1]\ => D(1), + \wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0) ); aw_pipe: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice_0 port map ( CO(0) => CO(0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), - Q(53 downto 0) => Q(53 downto 0), + Q(57 downto 0) => Q(57 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, aresetn => aresetn, - \aresetn_d_reg[1]_inv\ => aw_pipe_n_86, + \aresetn_d_reg[1]_inv\ => aw_pipe_n_92, \aresetn_d_reg[1]_inv_0\ => ar_pipe_n_2, axaddr_incr_reg(3 downto 0) => axaddr_incr_reg(3 downto 0), \axaddr_incr_reg[11]\(7 downto 0) => \axaddr_incr_reg[11]\(7 downto 0), axaddr_offset(2 downto 0) => axaddr_offset(2 downto 0), \axaddr_offset_r_reg[0]\ => \axaddr_offset_r_reg[0]\, + \axaddr_offset_r_reg[0]_0\(0) => \axaddr_offset_r_reg[0]_0\(0), \axaddr_offset_r_reg[1]\ => \axaddr_offset_r_reg[1]\, - \axaddr_offset_r_reg[3]\(2 downto 0) => \axaddr_offset_r_reg[3]_0\(2 downto 0), + \axaddr_offset_r_reg[3]\(2 downto 0) => \axaddr_offset_r_reg[3]\(2 downto 0), \axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\, b_push => b_push, \m_axi_awaddr[10]\ => \m_axi_awaddr[10]\, m_valid_i_reg_0 => si_rs_awvalid, next_pending_r_reg => next_pending_r_reg, + next_pending_r_reg_0 => next_pending_r_reg_0, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), - s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), + s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), @@ -13471,6 +13813,7 @@ aw_pipe: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_regi \state_reg[1]_rep\ => \state_reg[1]_rep\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_0\, \wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0), + \wrap_cnt_r_reg[1]\ => \wrap_cnt_r_reg[1]\, \wrap_second_len_r_reg[3]\ => \wrap_second_len_r_reg[3]\ ); b_pipe: entity work.\system_design_auto_pc_2_axi_register_slice_v2_1_9_axic_register_slice__parameterized1\ @@ -13509,42 +13852,39 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel is port ( \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : out STD_LOGIC; - \wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC; - Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); - axaddr_offset : out STD_LOGIC_VECTOR ( 0 to 0 ); - \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); - r_push : out STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); + \wrap_boundary_axaddr_r_reg[0]\ : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC; + r_push : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; r_rlast : out STD_LOGIC; m_valid_i0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); + \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \r_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; - m_axi_arready : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; - \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC; - \m_payload_i_reg[61]\ : in STD_LOGIC_VECTOR ( 30 downto 0 ); + \m_payload_i_reg[64]\ : in STD_LOGIC_VECTOR ( 34 downto 0 ); CO : in STD_LOGIC_VECTOR ( 0 to 0 ); - \cnt_read_reg[1]_rep__0\ : in STD_LOGIC; - D : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \m_payload_i_reg[35]\ : in STD_LOGIC; - \m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); - \m_payload_i_reg[35]_0\ : in STD_LOGIC; - \m_payload_i_reg[3]\ : in STD_LOGIC; - \m_payload_i_reg[44]\ : in STD_LOGIC; + \m_payload_i_reg[46]\ : in STD_LOGIC; + \m_payload_i_reg[48]\ : in STD_LOGIC; + m_axi_arready : in STD_LOGIC; areset_d1 : in STD_LOGIC; - \m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \cnt_read_reg[1]_rep__0\ : in STD_LOGIC; + \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_ready_i_reg : in STD_LOGIC; \m_payload_i_reg[38]\ : in STD_LOGIC; - \wrap_second_len_r_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + axaddr_offset : in STD_LOGIC_VECTOR ( 3 downto 0 ); + D : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); attribute ORIG_REF_NAME : string; @@ -13552,154 +13892,117 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel is end system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel; architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel is - signal \^q\ : STD_LOGIC_VECTOR ( 2 downto 0 ); - signal ar_cmd_fsm_0_n_0 : STD_LOGIC; - signal ar_cmd_fsm_0_n_10 : STD_LOGIC; + signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal ar_cmd_fsm_0_n_13 : STD_LOGIC; - signal ar_cmd_fsm_0_n_17 : STD_LOGIC; - signal ar_cmd_fsm_0_n_18 : STD_LOGIC; - signal ar_cmd_fsm_0_n_22 : STD_LOGIC; - signal ar_cmd_fsm_0_n_23 : STD_LOGIC; + signal ar_cmd_fsm_0_n_16 : STD_LOGIC; signal ar_cmd_fsm_0_n_3 : STD_LOGIC; - signal ar_cmd_fsm_0_n_4 : STD_LOGIC; signal ar_cmd_fsm_0_n_6 : STD_LOGIC; - signal \^axaddr_offset\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal ar_cmd_fsm_0_n_8 : STD_LOGIC; + signal ar_cmd_fsm_0_n_9 : STD_LOGIC; signal cmd_translator_0_n_1 : STD_LOGIC; - signal cmd_translator_0_n_10 : STD_LOGIC; signal cmd_translator_0_n_11 : STD_LOGIC; - signal cmd_translator_0_n_13 : STD_LOGIC; signal cmd_translator_0_n_2 : STD_LOGIC; signal cmd_translator_0_n_8 : STD_LOGIC; signal cmd_translator_0_n_9 : STD_LOGIC; signal incr_next_pending : STD_LOGIC; + signal \^m_payload_i_reg[0]\ : STD_LOGIC; + signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal \^r_push\ : STD_LOGIC; signal \^sel_first\ : STD_LOGIC; signal sel_first_i : STD_LOGIC; - signal state : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC; - signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 to 3 ); + signal \^wrap_boundary_axaddr_r_reg[0]\ : STD_LOGIC; signal wrap_next_pending : STD_LOGIC; begin - Q(2 downto 0) <= \^q\(2 downto 0); - axaddr_offset(0) <= \^axaddr_offset\(0); + Q(1 downto 0) <= \^q\(1 downto 0); + \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; + \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; r_push <= \^r_push\; sel_first <= \^sel_first\; - \wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\; + \wrap_boundary_axaddr_r_reg[0]\ <= \^wrap_boundary_axaddr_r_reg[0]\; ar_cmd_fsm_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_rd_cmd_fsm port map ( - D(1) => ar_cmd_fsm_0_n_3, - D(0) => ar_cmd_fsm_0_n_4, - E(0) => \^wrap_boundary_axaddr_r_reg[11]\, - Q(1 downto 0) => state(1 downto 0), + E(0) => \^wrap_boundary_axaddr_r_reg[0]\, + Q(1 downto 0) => \^q\(1 downto 0), aclk => aclk, areset_d1 => areset_d1, - \axaddr_incr_reg[11]\ => ar_cmd_fsm_0_n_18, - \axaddr_offset_r_reg[0]\(0) => \^axaddr_offset\(0), - \axaddr_offset_r_reg[0]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(0), - \axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\, - \axlen_cnt_reg[0]\(0) => ar_cmd_fsm_0_n_6, - \axlen_cnt_reg[0]_0\(0) => cmd_translator_0_n_9, - \axlen_cnt_reg[3]\(0) => ar_cmd_fsm_0_n_17, - \axlen_cnt_reg[7]\ => ar_cmd_fsm_0_n_0, - \axlen_cnt_reg[7]_0\ => cmd_translator_0_n_10, + \axaddr_incr_reg[11]\ => ar_cmd_fsm_0_n_9, + \axlen_cnt_reg[3]\(0) => ar_cmd_fsm_0_n_8, \cnt_read_reg[1]_rep__0\ => \cnt_read_reg[1]_rep__0\, incr_next_pending => incr_next_pending, m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, - \m_payload_i_reg[0]\ => \m_payload_i_reg[0]\, - \m_payload_i_reg[0]_0\ => \m_payload_i_reg[0]_0\, + \m_payload_i_reg[0]\ => \^m_payload_i_reg[0]\, + \m_payload_i_reg[0]_0\ => \^m_payload_i_reg[0]_0\, \m_payload_i_reg[0]_1\(0) => E(0), - \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, - \m_payload_i_reg[35]_0\ => \m_payload_i_reg[35]_0\, - \m_payload_i_reg[3]\ => \m_payload_i_reg[3]\, - \m_payload_i_reg[44]\(1 downto 0) => \m_payload_i_reg[61]\(15 downto 14), - \m_payload_i_reg[44]_0\ => \m_payload_i_reg[44]\, - \m_payload_i_reg[47]\(1 downto 0) => \m_payload_i_reg[47]_0\(2 downto 1), + \m_payload_i_reg[39]\(0) => \m_payload_i_reg[64]\(14), + \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, m_valid_i0 => m_valid_i0, next_pending_r_reg => cmd_translator_0_n_1, r_push_r_reg => \^r_push\, - s_axburst_eq0_reg => ar_cmd_fsm_0_n_10, - s_axburst_eq1_reg => ar_cmd_fsm_0_n_13, - s_axburst_eq1_reg_0 => cmd_translator_0_n_13, + s_axburst_eq0_reg => ar_cmd_fsm_0_n_3, + s_axburst_eq1_reg => ar_cmd_fsm_0_n_6, + s_axburst_eq1_reg_0 => cmd_translator_0_n_11, s_axi_arvalid => s_axi_arvalid, s_ready_i_reg => s_ready_i_reg, sel_first_i => sel_first_i, - sel_first_reg => ar_cmd_fsm_0_n_22, - sel_first_reg_0 => ar_cmd_fsm_0_n_23, + sel_first_reg => ar_cmd_fsm_0_n_13, + sel_first_reg_0 => ar_cmd_fsm_0_n_16, sel_first_reg_1 => cmd_translator_0_n_2, sel_first_reg_2 => \^sel_first\, sel_first_reg_3 => cmd_translator_0_n_8, si_rs_arvalid => si_rs_arvalid, - \state_reg[0]_0\ => cmd_translator_0_n_11, - wrap_next_pending => wrap_next_pending, - \wrap_second_len_r_reg[2]\(1 downto 0) => D(1 downto 0), - \wrap_second_len_r_reg[3]\(1) => \wrap_cmd_0/wrap_second_len\(3), - \wrap_second_len_r_reg[3]\(0) => \wrap_cmd_0/wrap_second_len\(0), - \wrap_second_len_r_reg[3]_0\(1) => \wrap_cmd_0/wrap_second_len_r\(3), - \wrap_second_len_r_reg[3]_0\(0) => \^q\(0) + \state_reg[0]_rep_0\ => cmd_translator_0_n_9, + wrap_next_pending => wrap_next_pending ); cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator_1 port map ( CO(0) => CO(0), - D(0) => ar_cmd_fsm_0_n_6, - E(0) => \^wrap_boundary_axaddr_r_reg[11]\, + D(3 downto 0) => D(3 downto 0), + E(0) => \^wrap_boundary_axaddr_r_reg[0]\, O(3 downto 0) => O(3 downto 0), - Q(0) => cmd_translator_0_n_9, + Q(1 downto 0) => \^q\(1 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]\ => \^sel_first\, \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), - \axaddr_offset_r_reg[3]\(3 downto 1) => \axaddr_offset_r_reg[3]\(2 downto 0), - \axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0), - \axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_0\, - \axlen_cnt_reg[1]\ => cmd_translator_0_n_10, + axaddr_offset(3 downto 0) => axaddr_offset(3 downto 0), + \axaddr_offset_r_reg[3]\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), incr_next_pending => incr_next_pending, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), m_axi_arready => m_axi_arready, \m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0), - \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, - \m_payload_i_reg[39]\ => ar_cmd_fsm_0_n_10, - \m_payload_i_reg[39]_0\ => ar_cmd_fsm_0_n_13, - \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0), - \m_payload_i_reg[44]\ => \m_payload_i_reg[44]\, + \m_payload_i_reg[39]\ => ar_cmd_fsm_0_n_3, + \m_payload_i_reg[39]_0\ => ar_cmd_fsm_0_n_6, + \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, - \m_payload_i_reg[47]_0\(18 downto 0) => \m_payload_i_reg[61]\(18 downto 0), - \m_payload_i_reg[47]_1\(3 downto 1) => \m_payload_i_reg[47]_0\(2 downto 0), - \m_payload_i_reg[47]_1\(0) => \^axaddr_offset\(0), + \m_payload_i_reg[48]\ => \m_payload_i_reg[48]\, + \m_payload_i_reg[51]\(22 downto 0) => \m_payload_i_reg[64]\(22 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), - m_valid_i_reg(0) => ar_cmd_fsm_0_n_17, + m_valid_i_reg(0) => ar_cmd_fsm_0_n_8, next_pending_r_reg => cmd_translator_0_n_1, - next_pending_r_reg_0 => cmd_translator_0_n_11, + next_pending_r_reg_0 => cmd_translator_0_n_9, r_rlast => r_rlast, sel_first_i => sel_first_i, sel_first_reg_0 => cmd_translator_0_n_2, sel_first_reg_1 => cmd_translator_0_n_8, - sel_first_reg_2 => ar_cmd_fsm_0_n_18, - sel_first_reg_3 => ar_cmd_fsm_0_n_22, - sel_first_reg_4 => ar_cmd_fsm_0_n_23, + sel_first_reg_2 => ar_cmd_fsm_0_n_9, + sel_first_reg_3 => ar_cmd_fsm_0_n_13, + sel_first_reg_4 => ar_cmd_fsm_0_n_16, si_rs_arvalid => si_rs_arvalid, - \state_reg[0]_rep\ => cmd_translator_0_n_13, - \state_reg[1]\(1 downto 0) => state(1 downto 0), - \state_reg[1]_0\ => ar_cmd_fsm_0_n_0, - \state_reg[1]_rep\ => \^r_push\, + \state_reg[0]_rep\ => \^m_payload_i_reg[0]_0\, + \state_reg[1]_rep\ => cmd_translator_0_n_11, + \state_reg[1]_rep_0\ => \^m_payload_i_reg[0]\, + \state_reg[1]_rep_1\ => \^r_push\, wrap_next_pending => wrap_next_pending, - \wrap_second_len_r_reg[3]\(3) => \wrap_cmd_0/wrap_second_len_r\(3), - \wrap_second_len_r_reg[3]\(2 downto 0) => \^q\(2 downto 0), - \wrap_second_len_r_reg[3]_0\(3) => \wrap_cmd_0/wrap_second_len\(3), - \wrap_second_len_r_reg[3]_0\(2 downto 1) => D(1 downto 0), - \wrap_second_len_r_reg[3]_0\(0) => \wrap_cmd_0/wrap_second_len\(0), - \wrap_second_len_r_reg[3]_1\(2) => ar_cmd_fsm_0_n_3, - \wrap_second_len_r_reg[3]_1\(1) => \wrap_second_len_r_reg[0]\(0), - \wrap_second_len_r_reg[3]_1\(0) => ar_cmd_fsm_0_n_4 + \wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), + \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0) ); \s_arid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(19), + D => \m_payload_i_reg[64]\(23), Q => \r_arid_r_reg[11]\(0), R => '0' ); @@ -13707,7 +14010,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(29), + D => \m_payload_i_reg[64]\(33), Q => \r_arid_r_reg[11]\(10), R => '0' ); @@ -13715,7 +14018,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(30), + D => \m_payload_i_reg[64]\(34), Q => \r_arid_r_reg[11]\(11), R => '0' ); @@ -13723,7 +14026,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(20), + D => \m_payload_i_reg[64]\(24), Q => \r_arid_r_reg[11]\(1), R => '0' ); @@ -13731,7 +14034,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(21), + D => \m_payload_i_reg[64]\(25), Q => \r_arid_r_reg[11]\(2), R => '0' ); @@ -13739,7 +14042,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(22), + D => \m_payload_i_reg[64]\(26), Q => \r_arid_r_reg[11]\(3), R => '0' ); @@ -13747,7 +14050,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(23), + D => \m_payload_i_reg[64]\(27), Q => \r_arid_r_reg[11]\(4), R => '0' ); @@ -13755,7 +14058,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(24), + D => \m_payload_i_reg[64]\(28), Q => \r_arid_r_reg[11]\(5), R => '0' ); @@ -13763,7 +14066,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(25), + D => \m_payload_i_reg[64]\(29), Q => \r_arid_r_reg[11]\(6), R => '0' ); @@ -13771,7 +14074,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(26), + D => \m_payload_i_reg[64]\(30), Q => \r_arid_r_reg[11]\(7), R => '0' ); @@ -13779,7 +14082,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(27), + D => \m_payload_i_reg[64]\(31), Q => \r_arid_r_reg[11]\(8), R => '0' ); @@ -13787,7 +14090,7 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ port map ( C => aclk, CE => '1', - D => \m_payload_i_reg[61]\(28), + D => \m_payload_i_reg[64]\(32), Q => \r_arid_r_reg[11]\(9), R => '0' ); @@ -13801,24 +14104,27 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel is \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[0]\ : out STD_LOGIC; - \axlen_cnt_reg[7]\ : out STD_LOGIC; - \axlen_cnt_reg[7]_0\ : out STD_LOGIC; - b_push : out STD_LOGIC; + \axaddr_offset_r_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \state_reg[1]_rep\ : out STD_LOGIC; + \state_reg[1]_rep_0\ : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); - E : out STD_LOGIC_VECTOR ( 0 to 0 ); + b_push : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; + E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); - \in\ : out STD_LOGIC_VECTOR ( 15 downto 0 ); + \in\ : out STD_LOGIC_VECTOR ( 19 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; - Q : in STD_LOGIC_VECTOR ( 30 downto 0 ); + Q : in STD_LOGIC_VECTOR ( 34 downto 0 ); + \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC; si_rs_awvalid : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); - \m_payload_i_reg[44]\ : in STD_LOGIC; - \m_payload_i_reg[47]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \m_payload_i_reg[48]\ : in STD_LOGIC; + \m_payload_i_reg[46]\ : in STD_LOGIC; \axaddr_offset_r_reg[1]\ : in STD_LOGIC; + axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; @@ -13836,86 +14142,81 @@ end system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel; architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel is signal aw_cmd_fsm_0_n_10 : STD_LOGIC; - signal aw_cmd_fsm_0_n_11 : STD_LOGIC; - signal aw_cmd_fsm_0_n_14 : STD_LOGIC; + signal aw_cmd_fsm_0_n_13 : STD_LOGIC; + signal aw_cmd_fsm_0_n_19 : STD_LOGIC; + signal aw_cmd_fsm_0_n_2 : STD_LOGIC; + signal aw_cmd_fsm_0_n_22 : STD_LOGIC; signal aw_cmd_fsm_0_n_24 : STD_LOGIC; - signal aw_cmd_fsm_0_n_27 : STD_LOGIC; - signal aw_cmd_fsm_0_n_28 : STD_LOGIC; - signal aw_cmd_fsm_0_n_3 : STD_LOGIC; - signal aw_cmd_fsm_0_n_5 : STD_LOGIC; + signal aw_cmd_fsm_0_n_25 : STD_LOGIC; signal aw_cmd_fsm_0_n_9 : STD_LOGIC; - signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^b_push\ : STD_LOGIC; signal cmd_translator_0_n_0 : STD_LOGIC; - signal cmd_translator_0_n_1 : STD_LOGIC; signal cmd_translator_0_n_10 : STD_LOGIC; signal cmd_translator_0_n_11 : STD_LOGIC; - signal cmd_translator_0_n_12 : STD_LOGIC; signal cmd_translator_0_n_2 : STD_LOGIC; signal cmd_translator_0_n_9 : STD_LOGIC; signal incr_next_pending : STD_LOGIC; + signal \next\ : STD_LOGIC; signal \^sel_first\ : STD_LOGIC; signal \sel_first__0\ : STD_LOGIC; signal sel_first_i : STD_LOGIC; signal state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^wrap_boundary_axaddr_r_reg[0]\ : STD_LOGIC; - signal \wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 2 ); signal wrap_next_pending : STD_LOGIC; begin - \axaddr_offset_r_reg[3]\(2 downto 0) <= \^axaddr_offset_r_reg[3]\(2 downto 0); + \axaddr_offset_r_reg[0]\(0) <= \^axaddr_offset_r_reg[0]\(0); b_push <= \^b_push\; sel_first <= \^sel_first\; \wrap_boundary_axaddr_r_reg[0]\ <= \^wrap_boundary_axaddr_r_reg[0]\; aw_cmd_fsm_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_wr_cmd_fsm port map ( - D(0) => aw_cmd_fsm_0_n_14, + D(2 downto 1) => wrap_cnt(3 downto 2), + D(0) => aw_cmd_fsm_0_n_2, E(0) => \^wrap_boundary_axaddr_r_reg[0]\, - Q(1 downto 0) => Q(15 downto 14), + Q(1 downto 0) => state(1 downto 0), aclk => aclk, areset_d1 => areset_d1, - \axaddr_incr_reg[11]\ => aw_cmd_fsm_0_n_24, - axaddr_offset(0) => \wrap_cmd_0/axaddr_offset\(0), - \axaddr_offset_r_reg[0]\(1 downto 0) => state(1 downto 0), + \axaddr_incr_reg[11]\ => aw_cmd_fsm_0_n_22, + axaddr_offset(1 downto 0) => axaddr_offset(2 downto 1), + \axaddr_offset_r_reg[0]\(0) => \^axaddr_offset_r_reg[0]\(0), + \axaddr_offset_r_reg[0]_0\(0) => \wrap_cmd_0/axaddr_offset_r\(0), \axaddr_offset_r_reg[1]\ => \axaddr_offset_r_reg[1]\, - \axaddr_offset_r_reg[3]\(1) => \^axaddr_offset_r_reg[3]\(2), - \axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0), - \axlen_cnt_reg[0]\(0) => cmd_translator_0_n_9, - \axlen_cnt_reg[3]\(0) => aw_cmd_fsm_0_n_11, + \axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\, + \axlen_cnt_reg[0]\(0) => aw_cmd_fsm_0_n_9, + \axlen_cnt_reg[0]_0\(0) => cmd_translator_0_n_9, + \axlen_cnt_reg[3]\(0) => aw_cmd_fsm_0_n_19, \axlen_cnt_reg[6]\ => cmd_translator_0_n_10, - \axlen_cnt_reg[7]\ => \axlen_cnt_reg[7]\, - \axlen_cnt_reg[7]_0\ => \axlen_cnt_reg[7]_0\, - \axlen_cnt_reg[7]_1\ => aw_cmd_fsm_0_n_3, - \axlen_cnt_reg[7]_2\ => \^b_push\, \cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\, \cnt_read_reg[1]_rep__1\ => \cnt_read_reg[1]_rep__1\, \cnt_read_reg[1]_rep__1_0\ => \cnt_read_reg[1]_rep__1_0\, incr_next_pending => incr_next_pending, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, - \m_payload_i_reg[0]\(0) => E(0), + \m_payload_i_reg[0]\ => \^b_push\, + \m_payload_i_reg[0]_0\(0) => E(0), \m_payload_i_reg[35]\ => \m_payload_i_reg[35]\, \m_payload_i_reg[3]\ => \m_payload_i_reg[3]\, - \m_payload_i_reg[44]\ => \m_payload_i_reg[44]\, - \m_payload_i_reg[47]\(1 downto 0) => \m_payload_i_reg[47]_0\(2 downto 1), - next_pending_r_reg => aw_cmd_fsm_0_n_10, - next_pending_r_reg_0 => cmd_translator_0_n_0, - next_pending_r_reg_1 => cmd_translator_0_n_1, - s_axburst_eq0_reg => aw_cmd_fsm_0_n_5, - s_axburst_eq1_reg => aw_cmd_fsm_0_n_9, - s_axburst_eq1_reg_0 => cmd_translator_0_n_12, + \m_payload_i_reg[44]\(1 downto 0) => Q(15 downto 14), + \m_payload_i_reg[48]\ => \m_payload_i_reg[48]\, + \next\ => \next\, + next_pending_r_reg => cmd_translator_0_n_0, + s_axburst_eq0_reg => aw_cmd_fsm_0_n_10, + s_axburst_eq1_reg => aw_cmd_fsm_0_n_13, + s_axburst_eq1_reg_0 => cmd_translator_0_n_11, \sel_first__0\ => \sel_first__0\, sel_first_i => sel_first_i, - sel_first_reg => aw_cmd_fsm_0_n_27, - sel_first_reg_0 => aw_cmd_fsm_0_n_28, + sel_first_reg => aw_cmd_fsm_0_n_24, + sel_first_reg_0 => aw_cmd_fsm_0_n_25, sel_first_reg_1 => cmd_translator_0_n_2, sel_first_reg_2 => \^sel_first\, si_rs_awvalid => si_rs_awvalid, - \state_reg[1]_0\ => cmd_translator_0_n_11, - \wrap_cnt_r_reg[3]\(3 downto 0) => wrap_cnt(3 downto 0), + \state_reg[1]_rep_0\ => \state_reg[1]_rep\, + \state_reg[1]_rep_1\ => \state_reg[1]_rep_0\, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_cmd_0/wrap_second_len\(3 downto 0), \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_cmd_0/wrap_second_len_r\(3 downto 0) @@ -13923,7 +14224,8 @@ aw_cmd_fsm_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_cmd_translator port map ( CO(0) => CO(0), - D(0) => aw_cmd_fsm_0_n_14, + D(2 downto 1) => wrap_cnt(3 downto 2), + D(0) => aw_cmd_fsm_0_n_2, E(0) => \^wrap_boundary_axaddr_r_reg[0]\, O(3 downto 0) => O(3 downto 0), Q(0) => cmd_translator_0_n_9, @@ -13931,135 +14233,135 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ aclk => aclk, \axaddr_incr_reg[11]\ => \^sel_first\, \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), - \axaddr_offset_r_reg[3]\(3 downto 1) => \^axaddr_offset_r_reg[3]\(2 downto 0), + \axaddr_offset_r_reg[1]\ => \axaddr_offset_r_reg[1]\, + \axaddr_offset_r_reg[3]\(3 downto 1) => \axaddr_offset_r_reg[3]\(2 downto 0), \axaddr_offset_r_reg[3]\(0) => \wrap_cmd_0/axaddr_offset_r\(0), - \axlen_cnt_reg[3]\ => cmd_translator_0_n_10, - \cnt_read_reg[1]_rep__1\ => aw_cmd_fsm_0_n_10, + \axaddr_offset_r_reg[3]_0\ => \axaddr_offset_r_reg[3]_0\, + \axlen_cnt_reg[6]\ => cmd_translator_0_n_10, + \cnt_read_reg[0]_rep__0\ => \^b_push\, incr_next_pending => incr_next_pending, m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), \m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, - \m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_5, - \m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_9, + \m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_10, + \m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_13, + \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, - \m_payload_i_reg[47]_0\(18 downto 0) => Q(18 downto 0), - \m_payload_i_reg[47]_1\(3 downto 1) => \m_payload_i_reg[47]_0\(2 downto 0), - \m_payload_i_reg[47]_1\(0) => \wrap_cmd_0/axaddr_offset\(0), + \m_payload_i_reg[47]_0\(3 downto 1) => axaddr_offset(2 downto 0), + \m_payload_i_reg[47]_0\(0) => \^axaddr_offset_r_reg[0]\(0), + \m_payload_i_reg[51]\(22 downto 0) => Q(22 downto 0), \m_payload_i_reg[6]\(6 downto 0) => D(6 downto 0), - m_valid_i_reg(0) => aw_cmd_fsm_0_n_11, - m_valid_i_reg_0 => aw_cmd_fsm_0_n_3, + m_valid_i_reg(0) => aw_cmd_fsm_0_n_19, + \next\ => \next\, next_pending_r_reg => cmd_translator_0_n_0, - next_pending_r_reg_0 => cmd_translator_0_n_1, - next_pending_r_reg_1 => cmd_translator_0_n_11, \sel_first__0\ => \sel_first__0\, sel_first_i => sel_first_i, sel_first_reg_0 => cmd_translator_0_n_2, - sel_first_reg_1 => aw_cmd_fsm_0_n_24, - sel_first_reg_2 => aw_cmd_fsm_0_n_27, - sel_first_reg_3 => aw_cmd_fsm_0_n_28, + sel_first_reg_1 => aw_cmd_fsm_0_n_22, + sel_first_reg_2 => aw_cmd_fsm_0_n_24, + sel_first_reg_3 => aw_cmd_fsm_0_n_25, si_rs_awvalid => si_rs_awvalid, - \state_reg[0]_rep\ => cmd_translator_0_n_12, - \state_reg[0]_rep_0\ => \^b_push\, + \state_reg[0]\(0) => aw_cmd_fsm_0_n_9, + \state_reg[0]_rep\ => cmd_translator_0_n_11, \state_reg[1]\(1 downto 0) => state(1 downto 0), wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]\(3 downto 0) => \wrap_cmd_0/wrap_second_len_r\(3 downto 0), - \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_cmd_0/wrap_second_len\(3 downto 0), - \wrap_second_len_r_reg[3]_1\(3 downto 0) => wrap_cnt(3 downto 0) + \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_cmd_0/wrap_second_len\(3 downto 0) ); \s_awid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(19), - Q => \in\(4), + D => Q(23), + Q => \in\(8), R => '0' ); \s_awid_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(29), - Q => \in\(14), + D => Q(33), + Q => \in\(18), R => '0' ); \s_awid_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(30), - Q => \in\(15), + D => Q(34), + Q => \in\(19), R => '0' ); \s_awid_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(20), - Q => \in\(5), + D => Q(24), + Q => \in\(9), R => '0' ); \s_awid_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(21), - Q => \in\(6), + D => Q(25), + Q => \in\(10), R => '0' ); \s_awid_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(22), - Q => \in\(7), + D => Q(26), + Q => \in\(11), R => '0' ); \s_awid_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(23), - Q => \in\(8), + D => Q(27), + Q => \in\(12), R => '0' ); \s_awid_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(24), - Q => \in\(9), + D => Q(28), + Q => \in\(13), R => '0' ); \s_awid_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(25), - Q => \in\(10), + D => Q(29), + Q => \in\(14), R => '0' ); \s_awid_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(26), - Q => \in\(11), + D => Q(30), + Q => \in\(15), R => '0' ); \s_awid_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(27), - Q => \in\(12), + D => Q(31), + Q => \in\(16), R => '0' ); \s_awid_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => Q(28), - Q => \in\(13), + D => Q(32), + Q => \in\(17), R => '0' ); \s_awlen_r_reg[0]\: unisim.vcomponents.FDRE @@ -14094,6 +14396,38 @@ cmd_translator_0: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_ Q => \in\(3), R => '0' ); +\s_awlen_r_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => Q(19), + Q => \in\(4), + R => '0' + ); +\s_awlen_r_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => Q(20), + Q => \in\(5), + R => '0' + ); +\s_awlen_r_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => Q(21), + Q => \in\(6), + R => '0' + ); +\s_awlen_r_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => Q(22), + Q => \in\(7), + R => '0' + ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; @@ -14115,23 +14449,23 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s is m_axi_rready : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); - m_axi_arready : in STD_LOGIC; s_axi_rready : in STD_LOGIC; aclk : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); - s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); - s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_arready : in STD_LOGIC; m_axi_awready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; @@ -14146,54 +14480,41 @@ end system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s; architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s is signal C : STD_LOGIC_VECTOR ( 11 downto 4 ); - signal \RD.ar_channel_0_n_14\ : STD_LOGIC; - signal \RD.ar_channel_0_n_15\ : STD_LOGIC; - signal \RD.ar_channel_0_n_44\ : STD_LOGIC; - signal \RD.ar_channel_0_n_45\ : STD_LOGIC; - signal \RD.ar_channel_0_n_46\ : STD_LOGIC; signal \RD.ar_channel_0_n_47\ : STD_LOGIC; - signal \RD.ar_channel_0_n_5\ : STD_LOGIC; + signal \RD.ar_channel_0_n_48\ : STD_LOGIC; + signal \RD.ar_channel_0_n_49\ : STD_LOGIC; + signal \RD.ar_channel_0_n_50\ : STD_LOGIC; + signal \RD.ar_channel_0_n_7\ : STD_LOGIC; + signal \RD.ar_channel_0_n_8\ : STD_LOGIC; + signal \RD.ar_channel_0_n_9\ : STD_LOGIC; signal \RD.r_channel_0_n_0\ : STD_LOGIC; signal \RD.r_channel_0_n_1\ : STD_LOGIC; - signal SI_REG_n_124 : STD_LOGIC; - signal SI_REG_n_125 : STD_LOGIC; - signal SI_REG_n_126 : STD_LOGIC; - signal SI_REG_n_127 : STD_LOGIC; - signal SI_REG_n_128 : STD_LOGIC; - signal SI_REG_n_129 : STD_LOGIC; - signal SI_REG_n_130 : STD_LOGIC; - signal SI_REG_n_131 : STD_LOGIC; - signal SI_REG_n_132 : STD_LOGIC; - signal SI_REG_n_133 : STD_LOGIC; - signal SI_REG_n_134 : STD_LOGIC; - signal SI_REG_n_135 : STD_LOGIC; - signal SI_REG_n_136 : STD_LOGIC; - signal SI_REG_n_137 : STD_LOGIC; - signal SI_REG_n_138 : STD_LOGIC; - signal SI_REG_n_139 : STD_LOGIC; + signal SI_REG_n_10 : STD_LOGIC; + signal SI_REG_n_11 : STD_LOGIC; signal SI_REG_n_140 : STD_LOGIC; signal SI_REG_n_141 : STD_LOGIC; + signal SI_REG_n_142 : STD_LOGIC; + signal SI_REG_n_143 : STD_LOGIC; + signal SI_REG_n_144 : STD_LOGIC; signal SI_REG_n_145 : STD_LOGIC; signal SI_REG_n_146 : STD_LOGIC; signal SI_REG_n_147 : STD_LOGIC; signal SI_REG_n_148 : STD_LOGIC; + signal SI_REG_n_149 : STD_LOGIC; signal SI_REG_n_150 : STD_LOGIC; + signal SI_REG_n_151 : STD_LOGIC; + signal SI_REG_n_152 : STD_LOGIC; signal SI_REG_n_153 : STD_LOGIC; + signal SI_REG_n_154 : STD_LOGIC; + signal SI_REG_n_155 : STD_LOGIC; + signal SI_REG_n_156 : STD_LOGIC; signal SI_REG_n_157 : STD_LOGIC; signal SI_REG_n_158 : STD_LOGIC; signal SI_REG_n_159 : STD_LOGIC; - signal SI_REG_n_160 : STD_LOGIC; - signal SI_REG_n_161 : STD_LOGIC; - signal SI_REG_n_162 : STD_LOGIC; signal SI_REG_n_163 : STD_LOGIC; signal SI_REG_n_164 : STD_LOGIC; signal SI_REG_n_165 : STD_LOGIC; signal SI_REG_n_166 : STD_LOGIC; - signal SI_REG_n_167 : STD_LOGIC; - signal SI_REG_n_168 : STD_LOGIC; - signal SI_REG_n_169 : STD_LOGIC; - signal SI_REG_n_170 : STD_LOGIC; - signal SI_REG_n_171 : STD_LOGIC; signal SI_REG_n_172 : STD_LOGIC; signal SI_REG_n_173 : STD_LOGIC; signal SI_REG_n_174 : STD_LOGIC; @@ -14201,34 +14522,58 @@ architecture STRUCTURE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_ signal SI_REG_n_176 : STD_LOGIC; signal SI_REG_n_177 : STD_LOGIC; signal SI_REG_n_178 : STD_LOGIC; - signal \WR.aw_channel_0_n_42\ : STD_LOGIC; - signal \WR.aw_channel_0_n_43\ : STD_LOGIC; - signal \WR.aw_channel_0_n_44\ : STD_LOGIC; - signal \WR.aw_channel_0_n_45\ : STD_LOGIC; + signal SI_REG_n_179 : STD_LOGIC; + signal SI_REG_n_180 : STD_LOGIC; + signal SI_REG_n_181 : STD_LOGIC; + signal SI_REG_n_182 : STD_LOGIC; + signal SI_REG_n_183 : STD_LOGIC; + signal SI_REG_n_184 : STD_LOGIC; + signal SI_REG_n_185 : STD_LOGIC; + signal SI_REG_n_186 : STD_LOGIC; + signal SI_REG_n_187 : STD_LOGIC; + signal SI_REG_n_188 : STD_LOGIC; + signal SI_REG_n_189 : STD_LOGIC; + signal SI_REG_n_190 : STD_LOGIC; + signal SI_REG_n_191 : STD_LOGIC; + signal SI_REG_n_28 : STD_LOGIC; + signal SI_REG_n_29 : STD_LOGIC; + signal SI_REG_n_30 : STD_LOGIC; + signal SI_REG_n_31 : STD_LOGIC; + signal SI_REG_n_8 : STD_LOGIC; + signal SI_REG_n_86 : STD_LOGIC; + signal SI_REG_n_87 : STD_LOGIC; + signal SI_REG_n_88 : STD_LOGIC; + signal SI_REG_n_89 : STD_LOGIC; + signal SI_REG_n_9 : STD_LOGIC; + signal \WR.aw_channel_0_n_47\ : STD_LOGIC; + signal \WR.aw_channel_0_n_48\ : STD_LOGIC; + signal \WR.aw_channel_0_n_49\ : STD_LOGIC; signal \WR.aw_channel_0_n_5\ : STD_LOGIC; - signal \WR.aw_channel_0_n_6\ : STD_LOGIC; + signal \WR.aw_channel_0_n_50\ : STD_LOGIC; signal \WR.aw_channel_0_n_7\ : STD_LOGIC; + signal \WR.aw_channel_0_n_8\ : STD_LOGIC; signal \WR.b_channel_0_n_1\ : STD_LOGIC; signal \WR.b_channel_0_n_2\ : STD_LOGIC; signal \WR.b_channel_0_n_3\ : STD_LOGIC; + signal \ar_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \ar_pipe/m_valid_i0\ : STD_LOGIC; signal \ar_pipe/p_1_in\ : STD_LOGIC; signal areset_d1 : STD_LOGIC; signal areset_d1_i_1_n_0 : STD_LOGIC; signal \aw_pipe/p_1_in\ : STD_LOGIC; signal b_awid : STD_LOGIC_VECTOR ( 11 downto 0 ); - signal b_awlen : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal b_awlen : STD_LOGIC_VECTOR ( 7 downto 0 ); signal b_push : STD_LOGIC; signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/incr_cmd_0/sel_first\ : STD_LOGIC; signal \cmd_translator_0/incr_cmd_0/sel_first_2\ : STD_LOGIC; signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 2 downto 1 ); - signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal r_push : STD_LOGIC; signal r_rlast : STD_LOGIC; signal s_arid : STD_LOGIC_VECTOR ( 11 downto 0 ); @@ -14259,57 +14604,57 @@ begin s_axi_arready <= \^s_axi_arready\; \RD.ar_channel_0\: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_ar_channel port map ( - CO(0) => SI_REG_n_137, - D(1 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(2 downto 1), + CO(0) => SI_REG_n_153, + D(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 0), E(0) => \ar_pipe/p_1_in\, - O(3) => SI_REG_n_138, - O(2) => SI_REG_n_139, - O(1) => SI_REG_n_140, - O(0) => SI_REG_n_141, - Q(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(2 downto 0), - S(3) => \RD.ar_channel_0_n_44\, - S(2) => \RD.ar_channel_0_n_45\, - S(1) => \RD.ar_channel_0_n_46\, - S(0) => \RD.ar_channel_0_n_47\, + O(3) => SI_REG_n_154, + O(2) => SI_REG_n_155, + O(1) => SI_REG_n_156, + O(0) => SI_REG_n_157, + Q(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0), + S(3) => \RD.ar_channel_0_n_47\, + S(2) => \RD.ar_channel_0_n_48\, + S(1) => \RD.ar_channel_0_n_49\, + S(0) => \RD.ar_channel_0_n_50\, aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0), - axaddr_offset(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(0), - \axaddr_offset_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 1), - \axaddr_offset_r_reg[3]_0\ => SI_REG_n_153, + axaddr_offset(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3 downto 0), + \axaddr_offset_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 0), \cnt_read_reg[1]_rep__0\ => \RD.r_channel_0_n_1\, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, - \m_payload_i_reg[0]\ => \RD.ar_channel_0_n_14\, - \m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_15\, - \m_payload_i_reg[11]\(3) => SI_REG_n_133, - \m_payload_i_reg[11]\(2) => SI_REG_n_134, - \m_payload_i_reg[11]\(1) => SI_REG_n_135, - \m_payload_i_reg[11]\(0) => SI_REG_n_136, - \m_payload_i_reg[35]\ => SI_REG_n_157, - \m_payload_i_reg[35]_0\ => SI_REG_n_158, - \m_payload_i_reg[38]\ => SI_REG_n_178, - \m_payload_i_reg[3]\ => SI_REG_n_176, - \m_payload_i_reg[3]_0\(3) => SI_REG_n_129, - \m_payload_i_reg[3]_0\(2) => SI_REG_n_130, - \m_payload_i_reg[3]_0\(1) => SI_REG_n_131, - \m_payload_i_reg[3]_0\(0) => SI_REG_n_132, - \m_payload_i_reg[44]\ => SI_REG_n_159, - \m_payload_i_reg[47]\ => SI_REG_n_160, - \m_payload_i_reg[47]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3 downto 1), - \m_payload_i_reg[61]\(30 downto 19) => s_arid(11 downto 0), - \m_payload_i_reg[61]\(18 downto 15) => si_rs_arlen(3 downto 0), - \m_payload_i_reg[61]\(14) => si_rs_arburst(1), - \m_payload_i_reg[61]\(13 downto 12) => si_rs_arsize(1 downto 0), - \m_payload_i_reg[61]\(11 downto 0) => si_rs_araddr(11 downto 0), - \m_payload_i_reg[6]\(6) => SI_REG_n_169, - \m_payload_i_reg[6]\(5) => SI_REG_n_170, - \m_payload_i_reg[6]\(4) => SI_REG_n_171, - \m_payload_i_reg[6]\(3) => SI_REG_n_172, - \m_payload_i_reg[6]\(2) => SI_REG_n_173, - \m_payload_i_reg[6]\(1) => SI_REG_n_174, - \m_payload_i_reg[6]\(0) => SI_REG_n_175, + \m_payload_i_reg[0]\ => \RD.ar_channel_0_n_8\, + \m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_9\, + \m_payload_i_reg[11]\(3) => SI_REG_n_149, + \m_payload_i_reg[11]\(2) => SI_REG_n_150, + \m_payload_i_reg[11]\(1) => SI_REG_n_151, + \m_payload_i_reg[11]\(0) => SI_REG_n_152, + \m_payload_i_reg[38]\ => SI_REG_n_191, + \m_payload_i_reg[3]\(3) => SI_REG_n_145, + \m_payload_i_reg[3]\(2) => SI_REG_n_146, + \m_payload_i_reg[3]\(1) => SI_REG_n_147, + \m_payload_i_reg[3]\(0) => SI_REG_n_148, + \m_payload_i_reg[46]\ => SI_REG_n_174, + \m_payload_i_reg[47]\ => SI_REG_n_172, + \m_payload_i_reg[48]\ => SI_REG_n_173, + \m_payload_i_reg[64]\(34 downto 23) => s_arid(11 downto 0), + \m_payload_i_reg[64]\(22) => SI_REG_n_86, + \m_payload_i_reg[64]\(21) => SI_REG_n_87, + \m_payload_i_reg[64]\(20) => SI_REG_n_88, + \m_payload_i_reg[64]\(19) => SI_REG_n_89, + \m_payload_i_reg[64]\(18 downto 15) => si_rs_arlen(3 downto 0), + \m_payload_i_reg[64]\(14) => si_rs_arburst(1), + \m_payload_i_reg[64]\(13 downto 12) => si_rs_arsize(1 downto 0), + \m_payload_i_reg[64]\(11 downto 0) => si_rs_araddr(11 downto 0), + \m_payload_i_reg[6]\(6) => SI_REG_n_183, + \m_payload_i_reg[6]\(5) => SI_REG_n_184, + \m_payload_i_reg[6]\(4) => SI_REG_n_185, + \m_payload_i_reg[6]\(3) => SI_REG_n_186, + \m_payload_i_reg[6]\(2) => SI_REG_n_187, + \m_payload_i_reg[6]\(1) => SI_REG_n_188, + \m_payload_i_reg[6]\(0) => SI_REG_n_189, m_valid_i0 => \ar_pipe/m_valid_i0\, \r_arid_r_reg[11]\(11 downto 0) => s_arid_r(11 downto 0), r_push => r_push, @@ -14318,8 +14663,12 @@ begin s_ready_i_reg => \^s_axi_arready\, sel_first => \cmd_translator_0/incr_cmd_0/sel_first\, si_rs_arvalid => si_rs_arvalid, - \wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_5\, - \wrap_second_len_r_reg[0]\(0) => SI_REG_n_150 + \wrap_boundary_axaddr_r_reg[0]\ => \RD.ar_channel_0_n_7\, + \wrap_second_len_r_reg[3]\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0), + \wrap_second_len_r_reg[3]_0\(3) => SI_REG_n_8, + \wrap_second_len_r_reg[3]_0\(2) => SI_REG_n_9, + \wrap_second_len_r_reg[3]_0\(1) => SI_REG_n_10, + \wrap_second_len_r_reg[3]_0\(0) => SI_REG_n_11 ); \RD.r_channel_0\: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_r_channel port map ( @@ -14337,73 +14686,81 @@ begin si_rs_rready => si_rs_rready, \skid_buffer_reg[46]\(12 downto 1) => si_rs_rid(11 downto 0), \skid_buffer_reg[46]\(0) => si_rs_rlast, - \state_reg[1]_rep\ => \RD.r_channel_0_n_1\ + \state_reg[0]_rep\ => \RD.r_channel_0_n_1\ ); SI_REG: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_register_slice port map ( - CO(0) => SI_REG_n_124, - D(1 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(2 downto 1), + CO(0) => SI_REG_n_140, + D(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 0), E(0) => \aw_pipe/p_1_in\, - O(3) => SI_REG_n_125, - O(2) => SI_REG_n_126, - O(1) => SI_REG_n_127, - O(0) => SI_REG_n_128, - Q(53 downto 42) => s_awid(11 downto 0), + O(3) => SI_REG_n_141, + O(2) => SI_REG_n_142, + O(1) => SI_REG_n_143, + O(0) => SI_REG_n_144, + Q(57 downto 46) => s_awid(11 downto 0), + Q(45) => SI_REG_n_28, + Q(44) => SI_REG_n_29, + Q(43) => SI_REG_n_30, + Q(42) => SI_REG_n_31, Q(41 downto 38) => si_rs_awlen(3 downto 0), Q(37) => si_rs_awburst(1), Q(36 downto 35) => si_rs_awsize(1 downto 0), Q(34 downto 12) => Q(22 downto 0), Q(11 downto 0) => si_rs_awaddr(11 downto 0), - S(3) => \WR.aw_channel_0_n_42\, - S(2) => \WR.aw_channel_0_n_43\, - S(1) => \WR.aw_channel_0_n_44\, - S(0) => \WR.aw_channel_0_n_45\, + S(3) => \WR.aw_channel_0_n_47\, + S(2) => \WR.aw_channel_0_n_48\, + S(1) => \WR.aw_channel_0_n_49\, + S(0) => \WR.aw_channel_0_n_50\, aclk => aclk, aresetn => aresetn, axaddr_incr_reg(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3\(3 downto 0), \axaddr_incr_reg[11]\(7 downto 0) => C(11 downto 4), - \axaddr_incr_reg[11]_0\(3) => SI_REG_n_133, - \axaddr_incr_reg[11]_0\(2) => SI_REG_n_134, - \axaddr_incr_reg[11]_0\(1) => SI_REG_n_135, - \axaddr_incr_reg[11]_0\(0) => SI_REG_n_136, - \axaddr_incr_reg[3]\(3) => SI_REG_n_138, - \axaddr_incr_reg[3]\(2) => SI_REG_n_139, - \axaddr_incr_reg[3]\(1) => SI_REG_n_140, - \axaddr_incr_reg[3]\(0) => SI_REG_n_141, + \axaddr_incr_reg[11]_0\(3) => SI_REG_n_149, + \axaddr_incr_reg[11]_0\(2) => SI_REG_n_150, + \axaddr_incr_reg[11]_0\(1) => SI_REG_n_151, + \axaddr_incr_reg[11]_0\(0) => SI_REG_n_152, + \axaddr_incr_reg[3]\(3) => SI_REG_n_154, + \axaddr_incr_reg[3]\(2) => SI_REG_n_155, + \axaddr_incr_reg[3]\(1) => SI_REG_n_156, + \axaddr_incr_reg[3]\(0) => SI_REG_n_157, \axaddr_incr_reg[3]_0\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0), - \axaddr_incr_reg[7]\(3) => SI_REG_n_129, - \axaddr_incr_reg[7]\(2) => SI_REG_n_130, - \axaddr_incr_reg[7]\(1) => SI_REG_n_131, - \axaddr_incr_reg[7]\(0) => SI_REG_n_132, - \axaddr_incr_reg[7]_0\(0) => SI_REG_n_137, + \axaddr_incr_reg[7]\(3) => SI_REG_n_145, + \axaddr_incr_reg[7]\(2) => SI_REG_n_146, + \axaddr_incr_reg[7]\(1) => SI_REG_n_147, + \axaddr_incr_reg[7]\(0) => SI_REG_n_148, + \axaddr_incr_reg[7]_0\(0) => SI_REG_n_153, axaddr_offset(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3 downto 1), - axaddr_offset_0(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(0), - \axaddr_offset_r_reg[0]\ => SI_REG_n_168, - \axaddr_offset_r_reg[0]_0\ => SI_REG_n_176, - \axaddr_offset_r_reg[1]\ => SI_REG_n_145, - \axaddr_offset_r_reg[1]_0\ => SI_REG_n_157, - \axaddr_offset_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3 downto 1), - \axaddr_offset_r_reg[3]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1\(3 downto 1), - \axaddr_offset_r_reg[3]_1\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 1), - \axlen_cnt_reg[3]\ => SI_REG_n_147, - \axlen_cnt_reg[3]_0\ => SI_REG_n_160, + axaddr_offset_0(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3 downto 0), + \axaddr_offset_r_reg[0]\ => SI_REG_n_182, + \axaddr_offset_r_reg[0]_0\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(0), + \axaddr_offset_r_reg[1]\ => SI_REG_n_163, + \axaddr_offset_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1\(3 downto 1), + \axaddr_offset_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 0), + \axlen_cnt_reg[3]\ => SI_REG_n_164, + \axlen_cnt_reg[3]_0\ => SI_REG_n_172, b_push => b_push, \cnt_read_reg[3]_rep__2\ => \RD.r_channel_0_n_0\, \cnt_read_reg[4]\(33 downto 32) => si_rs_rresp(1 downto 0), \cnt_read_reg[4]\(31 downto 0) => si_rs_rdata(31 downto 0), - \m_axi_araddr[10]\ => SI_REG_n_178, - \m_axi_awaddr[10]\ => SI_REG_n_177, - \m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_44\, - \m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_45\, - \m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_46\, - \m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_47\, + \m_axi_araddr[10]\ => SI_REG_n_191, + \m_axi_awaddr[10]\ => SI_REG_n_190, + \m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_47\, + \m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_48\, + \m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_49\, + \m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_50\, m_valid_i0 => \ar_pipe/m_valid_i0\, - next_pending_r_reg => SI_REG_n_148, - next_pending_r_reg_0 => SI_REG_n_159, + next_pending_r_reg => SI_REG_n_165, + next_pending_r_reg_0 => SI_REG_n_166, + next_pending_r_reg_1 => SI_REG_n_173, + next_pending_r_reg_2 => SI_REG_n_174, \out\(11 downto 0) => si_rs_bid(11 downto 0), r_push_r_reg(12 downto 1) => si_rs_rid(11 downto 0), r_push_r_reg(0) => si_rs_rlast, - \s_arid_r_reg[11]\(53 downto 42) => s_arid(11 downto 0), + \s_arid_r_reg[11]\(57 downto 46) => s_arid(11 downto 0), + \s_arid_r_reg[11]\(45) => SI_REG_n_86, + \s_arid_r_reg[11]\(44) => SI_REG_n_87, + \s_arid_r_reg[11]\(43) => SI_REG_n_88, + \s_arid_r_reg[11]\(42) => SI_REG_n_89, \s_arid_r_reg[11]\(41 downto 38) => si_rs_arlen(3 downto 0), \s_arid_r_reg[11]\(37) => si_rs_arburst(1), \s_arid_r_reg[11]\(36 downto 35) => si_rs_arsize(1 downto 0), @@ -14412,7 +14769,7 @@ SI_REG: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_regist s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), - s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), + s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => \^s_axi_arready\, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), @@ -14420,7 +14777,7 @@ SI_REG: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_regist s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), - s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), + s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), @@ -14440,82 +14797,92 @@ SI_REG: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_regist si_rs_bready => si_rs_bready, si_rs_bvalid => si_rs_bvalid, si_rs_rready => si_rs_rready, - \state_reg[0]_rep\ => \WR.aw_channel_0_n_7\, - \state_reg[0]_rep_0\ => \RD.ar_channel_0_n_15\, + \state_reg[0]_rep\ => \WR.aw_channel_0_n_8\, + \state_reg[0]_rep_0\ => \RD.ar_channel_0_n_9\, + \state_reg[1]\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0), \state_reg[1]_rep\ => \WR.aw_channel_0_n_5\, - \state_reg[1]_rep_0\ => \WR.aw_channel_0_n_6\, - \state_reg[1]_rep_1\ => \RD.ar_channel_0_n_5\, - \state_reg[1]_rep_2\ => \RD.ar_channel_0_n_14\, + \state_reg[1]_rep_0\ => \WR.aw_channel_0_n_7\, + \state_reg[1]_rep_1\ => \RD.ar_channel_0_n_7\, + \state_reg[1]_rep_2\ => \RD.ar_channel_0_n_8\, \state_reg[1]_rep_3\(0) => \ar_pipe/p_1_in\, - \wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_161, - \wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_162, - \wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_163, - \wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_164, - \wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_165, - \wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_166, - \wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_167, - \wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_169, - \wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_170, - \wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_171, - \wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_172, - \wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_173, - \wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_174, - \wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_175, - \wrap_cnt_r_reg[2]\(0) => SI_REG_n_150, - \wrap_cnt_r_reg[2]_0\ => SI_REG_n_153, - \wrap_second_len_r_reg[2]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(2 downto 0), - \wrap_second_len_r_reg[3]\ => SI_REG_n_146, - \wrap_second_len_r_reg[3]_0\ => SI_REG_n_158 + \wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_175, + \wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_176, + \wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_177, + \wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_178, + \wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_179, + \wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_180, + \wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_181, + \wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_183, + \wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_184, + \wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_185, + \wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_186, + \wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_187, + \wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_188, + \wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_189, + \wrap_cnt_r_reg[1]\ => SI_REG_n_158, + \wrap_cnt_r_reg[3]\(3) => SI_REG_n_8, + \wrap_cnt_r_reg[3]\(2) => SI_REG_n_9, + \wrap_cnt_r_reg[3]\(1) => SI_REG_n_10, + \wrap_cnt_r_reg[3]\(0) => SI_REG_n_11, + \wrap_second_len_r_reg[3]\ => SI_REG_n_159, + \wrap_second_len_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 0) ); \WR.aw_channel_0\: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_aw_channel port map ( - CO(0) => SI_REG_n_124, - D(6) => SI_REG_n_161, - D(5) => SI_REG_n_162, - D(4) => SI_REG_n_163, - D(3) => SI_REG_n_164, - D(2) => SI_REG_n_165, - D(1) => SI_REG_n_166, - D(0) => SI_REG_n_167, + CO(0) => SI_REG_n_140, + D(6) => SI_REG_n_175, + D(5) => SI_REG_n_176, + D(4) => SI_REG_n_177, + D(3) => SI_REG_n_178, + D(2) => SI_REG_n_179, + D(1) => SI_REG_n_180, + D(0) => SI_REG_n_181, E(0) => \aw_pipe/p_1_in\, - O(3) => SI_REG_n_125, - O(2) => SI_REG_n_126, - O(1) => SI_REG_n_127, - O(0) => SI_REG_n_128, - Q(30 downto 19) => s_awid(11 downto 0), + O(3) => SI_REG_n_141, + O(2) => SI_REG_n_142, + O(1) => SI_REG_n_143, + O(0) => SI_REG_n_144, + Q(34 downto 23) => s_awid(11 downto 0), + Q(22) => SI_REG_n_28, + Q(21) => SI_REG_n_29, + Q(20) => SI_REG_n_30, + Q(19) => SI_REG_n_31, Q(18 downto 15) => si_rs_awlen(3 downto 0), Q(14) => si_rs_awburst(1), Q(13 downto 12) => si_rs_awsize(1 downto 0), Q(11 downto 0) => si_rs_awaddr(11 downto 0), - S(3) => \WR.aw_channel_0_n_42\, - S(2) => \WR.aw_channel_0_n_43\, - S(1) => \WR.aw_channel_0_n_44\, - S(0) => \WR.aw_channel_0_n_45\, + S(3) => \WR.aw_channel_0_n_47\, + S(2) => \WR.aw_channel_0_n_48\, + S(1) => \WR.aw_channel_0_n_49\, + S(0) => \WR.aw_channel_0_n_50\, aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_3\(3 downto 0), - \axaddr_offset_r_reg[1]\ => SI_REG_n_145, + axaddr_offset(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3 downto 1), + \axaddr_offset_r_reg[0]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(0), + \axaddr_offset_r_reg[1]\ => SI_REG_n_163, \axaddr_offset_r_reg[3]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_1\(3 downto 1), - \axlen_cnt_reg[7]\ => \WR.aw_channel_0_n_6\, - \axlen_cnt_reg[7]_0\ => \WR.aw_channel_0_n_7\, + \axaddr_offset_r_reg[3]_0\ => SI_REG_n_158, b_push => b_push, \cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\, \cnt_read_reg[1]_rep__1\ => \WR.b_channel_0_n_3\, \cnt_read_reg[1]_rep__1_0\ => \WR.b_channel_0_n_2\, - \in\(15 downto 4) => b_awid(11 downto 0), - \in\(3 downto 0) => b_awlen(3 downto 0), + \in\(19 downto 8) => b_awid(11 downto 0), + \in\(7 downto 0) => b_awlen(7 downto 0), m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \m_payload_i_reg[11]\(7 downto 0) => C(11 downto 4), - \m_payload_i_reg[35]\ => SI_REG_n_146, - \m_payload_i_reg[38]\ => SI_REG_n_177, - \m_payload_i_reg[3]\ => SI_REG_n_168, - \m_payload_i_reg[44]\ => SI_REG_n_148, - \m_payload_i_reg[47]\ => SI_REG_n_147, - \m_payload_i_reg[47]_0\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3 downto 1), + \m_payload_i_reg[35]\ => SI_REG_n_159, + \m_payload_i_reg[38]\ => SI_REG_n_190, + \m_payload_i_reg[3]\ => SI_REG_n_182, + \m_payload_i_reg[46]\ => SI_REG_n_166, + \m_payload_i_reg[47]\ => SI_REG_n_164, + \m_payload_i_reg[48]\ => SI_REG_n_165, sel_first => \cmd_translator_0/incr_cmd_0/sel_first_2\, si_rs_awvalid => si_rs_awvalid, + \state_reg[1]_rep\ => \WR.aw_channel_0_n_7\, + \state_reg[1]_rep_0\ => \WR.aw_channel_0_n_8\, \wrap_boundary_axaddr_r_reg[0]\ => \WR.aw_channel_0_n_5\ ); \WR.b_channel_0\: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_b2s_b_channel @@ -14525,8 +14892,8 @@ SI_REG: entity work.system_design_auto_pc_2_axi_register_slice_v2_1_9_axi_regist b_push => b_push, \cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\, \cnt_read_reg[1]_rep__1\ => \WR.b_channel_0_n_2\, - \in\(15 downto 4) => b_awid(11 downto 0), - \in\(3 downto 0) => b_awlen(3 downto 0), + \in\(19 downto 8) => b_awid(11 downto 0), + \in\(7 downto 0) => b_awlen(7 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, @@ -14564,10 +14931,10 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_conver aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); - s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); - s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); @@ -14589,10 +14956,10 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_conver s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); - s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); - s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); @@ -14682,7 +15049,7 @@ entity system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_conver attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 2; attribute C_S_AXI_PROTOCOL : integer; - attribute C_S_AXI_PROTOCOL of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_S_AXI_PROTOCOL of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 0; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 2; attribute DowngradeIPIdentifiedWarnings : string; @@ -14851,7 +15218,7 @@ VCC: unisim.vcomponents.VCC s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), - s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), + s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), @@ -14859,7 +15226,7 @@ VCC: unisim.vcomponents.VCC s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), - s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), + s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), @@ -14886,16 +15253,16 @@ entity system_design_auto_pc_2 is aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); - s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); - s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; - s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; @@ -14907,12 +15274,13 @@ entity system_design_auto_pc_2 is s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); - s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); - s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; @@ -15005,7 +15373,7 @@ architecture STRUCTURE of system_design_auto_pc_2 is attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of inst : label is 2; attribute C_S_AXI_PROTOCOL : integer; - attribute C_S_AXI_PROTOCOL of inst : label is 1; + attribute C_S_AXI_PROTOCOL of inst : label is 0; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of inst : label is 2; attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; @@ -15081,12 +15449,12 @@ inst: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_prot s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), - s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), - s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0), + s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), + s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, - s_axi_arregion(3 downto 0) => B"0000", + s_axi_arregion(3 downto 0) => s_axi_arregion(3 downto 0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, @@ -15094,12 +15462,12 @@ inst: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_prot s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), - s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), - s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0), + s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), + s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, - s_axi_awregion(3 downto 0) => B"0000", + s_axi_awregion(3 downto 0) => s_axi_awregion(3 downto 0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, @@ -15116,7 +15484,7 @@ inst: entity work.system_design_auto_pc_2_axi_protocol_converter_v2_1_9_axi_prot s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), - s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0), + s_axi_wid(11 downto 0) => B"000000000000", s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.v index 0eae9605860abe2c57f1c59782ba942b5b91c84d..546b49317c85a32d4cbf36a5c585c407e4d3ebc4 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Thu Oct 12 10:01:50 2017 +// Date : Mon Dec 18 11:27:00 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode synth_stub // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.v @@ -14,22 +14,22 @@ // The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. // Please paste the declaration into a Verilog source file or add the file as an additional source. (* X_CORE_INFO = "axi_protocol_converter_v2_1_9_axi_protocol_converter,Vivado 2016.2" *) -module system_design_auto_pc_2(aclk, aresetn, s_axi_awid, s_axi_awaddr, s_axi_awlen, s_axi_awsize, s_axi_awburst, s_axi_awlock, s_axi_awcache, s_axi_awprot, s_axi_awqos, s_axi_awvalid, s_axi_awready, s_axi_wid, s_axi_wdata, s_axi_wstrb, s_axi_wlast, s_axi_wvalid, s_axi_wready, s_axi_bid, s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_arid, s_axi_araddr, s_axi_arlen, s_axi_arsize, s_axi_arburst, s_axi_arlock, s_axi_arcache, s_axi_arprot, s_axi_arqos, s_axi_arvalid, s_axi_arready, s_axi_rid, s_axi_rdata, s_axi_rresp, s_axi_rlast, s_axi_rvalid, s_axi_rready, m_axi_awaddr, m_axi_awprot, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wvalid, m_axi_wready, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_araddr, m_axi_arprot, m_axi_arvalid, m_axi_arready, m_axi_rdata, m_axi_rresp, m_axi_rvalid, m_axi_rready) -/* synthesis syn_black_box black_box_pad_pin="aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[3:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[1:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awqos[3:0],s_axi_awvalid,s_axi_awready,s_axi_wid[11:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast,s_axi_wvalid,s_axi_wready,s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[3:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[1:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arqos[3:0],s_axi_arvalid,s_axi_arready,s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast,s_axi_rvalid,s_axi_rready,m_axi_awaddr[31:0],m_axi_awprot[2:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wvalid,m_axi_wready,m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_araddr[31:0],m_axi_arprot[2:0],m_axi_arvalid,m_axi_arready,m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rvalid,m_axi_rready" */; +module system_design_auto_pc_2(aclk, aresetn, s_axi_awid, s_axi_awaddr, s_axi_awlen, s_axi_awsize, s_axi_awburst, s_axi_awlock, s_axi_awcache, s_axi_awprot, s_axi_awregion, s_axi_awqos, s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wstrb, s_axi_wlast, s_axi_wvalid, s_axi_wready, s_axi_bid, s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_arid, s_axi_araddr, s_axi_arlen, s_axi_arsize, s_axi_arburst, s_axi_arlock, s_axi_arcache, s_axi_arprot, s_axi_arregion, s_axi_arqos, s_axi_arvalid, s_axi_arready, s_axi_rid, s_axi_rdata, s_axi_rresp, s_axi_rlast, s_axi_rvalid, s_axi_rready, m_axi_awaddr, m_axi_awprot, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wvalid, m_axi_wready, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_araddr, m_axi_arprot, m_axi_arvalid, m_axi_arready, m_axi_rdata, m_axi_rresp, m_axi_rvalid, m_axi_rready) +/* synthesis syn_black_box black_box_pad_pin="aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[7:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[0:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awregion[3:0],s_axi_awqos[3:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast,s_axi_wvalid,s_axi_wready,s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[7:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[0:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arregion[3:0],s_axi_arqos[3:0],s_axi_arvalid,s_axi_arready,s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast,s_axi_rvalid,s_axi_rready,m_axi_awaddr[31:0],m_axi_awprot[2:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wvalid,m_axi_wready,m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_araddr[31:0],m_axi_arprot[2:0],m_axi_arvalid,m_axi_arready,m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rvalid,m_axi_rready" */; input aclk; input aresetn; input [11:0]s_axi_awid; input [31:0]s_axi_awaddr; - input [3:0]s_axi_awlen; + input [7:0]s_axi_awlen; input [2:0]s_axi_awsize; input [1:0]s_axi_awburst; - input [1:0]s_axi_awlock; + input [0:0]s_axi_awlock; input [3:0]s_axi_awcache; input [2:0]s_axi_awprot; + input [3:0]s_axi_awregion; input [3:0]s_axi_awqos; input s_axi_awvalid; output s_axi_awready; - input [11:0]s_axi_wid; input [31:0]s_axi_wdata; input [3:0]s_axi_wstrb; input s_axi_wlast; @@ -41,12 +41,13 @@ module system_design_auto_pc_2(aclk, aresetn, s_axi_awid, s_axi_awaddr, s_axi_aw input s_axi_bready; input [11:0]s_axi_arid; input [31:0]s_axi_araddr; - input [3:0]s_axi_arlen; + input [7:0]s_axi_arlen; input [2:0]s_axi_arsize; input [1:0]s_axi_arburst; - input [1:0]s_axi_arlock; + input [0:0]s_axi_arlock; input [3:0]s_axi_arcache; input [2:0]s_axi_arprot; + input [3:0]s_axi_arregion; input [3:0]s_axi_arqos; input s_axi_arvalid; output s_axi_arready; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.vhdl index f6e265c7f3f3e4b6fea98533ad8d5e8dc62ba3ef..5d064c5f9d9fcdc826caaabaa68793baeb90625e 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Thu Oct 12 10:01:50 2017 +-- Date : Mon Dec 18 11:27:00 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode synth_stub -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_2/system_design_auto_pc_2_stub.vhdl @@ -18,16 +18,16 @@ entity system_design_auto_pc_2 is aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); - s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); - s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; - s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; @@ -39,12 +39,13 @@ entity system_design_auto_pc_2 is s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); - s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); - s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; @@ -81,7 +82,7 @@ architecture stub of system_design_auto_pc_2 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; -attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[3:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[1:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awqos[3:0],s_axi_awvalid,s_axi_awready,s_axi_wid[11:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast,s_axi_wvalid,s_axi_wready,s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[3:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[1:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arqos[3:0],s_axi_arvalid,s_axi_arready,s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast,s_axi_rvalid,s_axi_rready,m_axi_awaddr[31:0],m_axi_awprot[2:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wvalid,m_axi_wready,m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_araddr[31:0],m_axi_arprot[2:0],m_axi_arvalid,m_axi_arready,m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rvalid,m_axi_rready"; +attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[7:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[0:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awregion[3:0],s_axi_awqos[3:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast,s_axi_wvalid,s_axi_wready,s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[7:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[0:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arregion[3:0],s_axi_arqos[3:0],s_axi_arvalid,s_axi_arready,s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast,s_axi_rvalid,s_axi_rready,m_axi_awaddr[31:0],m_axi_awprot[2:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wvalid,m_axi_wready,m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_araddr[31:0],m_axi_arprot[2:0],m_axi_arvalid,m_axi_arready,m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rvalid,m_axi_rready"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "axi_protocol_converter_v2_1_9_axi_protocol_converter,Vivado 2016.2"; begin diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/sim/system_design_auto_pc_3.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/sim/system_design_auto_pc_3.v new file mode 100644 index 0000000000000000000000000000000000000000..d4c8f85cb7bc6e5b8b068a6ca720a509aecb0ee1 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/sim/system_design_auto_pc_3.v @@ -0,0 +1,408 @@ +// (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:axi_protocol_converter:2.1 +// IP Revision: 9 + +`timescale 1ns/1ps + +(* DowngradeIPIdentifiedWarnings = "yes" *) +module system_design_auto_pc_3 ( + aclk, + aresetn, + s_axi_awid, + s_axi_awaddr, + s_axi_awlen, + s_axi_awsize, + s_axi_awburst, + s_axi_awlock, + s_axi_awcache, + s_axi_awprot, + s_axi_awqos, + s_axi_awvalid, + s_axi_awready, + s_axi_wid, + s_axi_wdata, + s_axi_wstrb, + s_axi_wlast, + s_axi_wvalid, + s_axi_wready, + s_axi_bid, + s_axi_bresp, + s_axi_bvalid, + s_axi_bready, + s_axi_arid, + s_axi_araddr, + s_axi_arlen, + s_axi_arsize, + s_axi_arburst, + s_axi_arlock, + s_axi_arcache, + s_axi_arprot, + s_axi_arqos, + s_axi_arvalid, + s_axi_arready, + s_axi_rid, + s_axi_rdata, + s_axi_rresp, + s_axi_rlast, + s_axi_rvalid, + s_axi_rready, + m_axi_awid, + m_axi_awaddr, + m_axi_awlen, + m_axi_awsize, + m_axi_awburst, + m_axi_awlock, + m_axi_awcache, + m_axi_awprot, + m_axi_awregion, + m_axi_awqos, + m_axi_awvalid, + m_axi_awready, + m_axi_wdata, + m_axi_wstrb, + m_axi_wlast, + m_axi_wvalid, + m_axi_wready, + m_axi_bid, + m_axi_bresp, + m_axi_bvalid, + m_axi_bready, + m_axi_arid, + m_axi_araddr, + m_axi_arlen, + m_axi_arsize, + m_axi_arburst, + m_axi_arlock, + m_axi_arcache, + m_axi_arprot, + m_axi_arregion, + m_axi_arqos, + m_axi_arvalid, + m_axi_arready, + m_axi_rid, + m_axi_rdata, + m_axi_rresp, + m_axi_rlast, + m_axi_rvalid, + m_axi_rready +); + +(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK CLK" *) +input wire aclk; +(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RST RST" *) +input wire aresetn; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWID" *) +input wire [11 : 0] s_axi_awid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *) +input wire [31 : 0] s_axi_awaddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLEN" *) +input wire [3 : 0] s_axi_awlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE" *) +input wire [2 : 0] s_axi_awsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWBURST" *) +input wire [1 : 0] s_axi_awburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK" *) +input wire [1 : 0] s_axi_awlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE" *) +input wire [3 : 0] s_axi_awcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *) +input wire [2 : 0] s_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWQOS" *) +input wire [3 : 0] s_axi_awqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWVALID" *) +input wire s_axi_awvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREADY" *) +output wire s_axi_awready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WID" *) +input wire [11 : 0] s_axi_wid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WDATA" *) +input wire [31 : 0] s_axi_wdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WSTRB" *) +input wire [3 : 0] s_axi_wstrb; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WLAST" *) +input wire s_axi_wlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WVALID" *) +input wire s_axi_wvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WREADY" *) +output wire s_axi_wready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BID" *) +output wire [11 : 0] s_axi_bid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BRESP" *) +output wire [1 : 0] s_axi_bresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BVALID" *) +output wire s_axi_bvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BREADY" *) +input wire s_axi_bready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARID" *) +input wire [11 : 0] s_axi_arid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARADDR" *) +input wire [31 : 0] s_axi_araddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLEN" *) +input wire [3 : 0] s_axi_arlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE" *) +input wire [2 : 0] s_axi_arsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARBURST" *) +input wire [1 : 0] s_axi_arburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK" *) +input wire [1 : 0] s_axi_arlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE" *) +input wire [3 : 0] s_axi_arcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARPROT" *) +input wire [2 : 0] s_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARQOS" *) +input wire [3 : 0] s_axi_arqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARVALID" *) +input wire s_axi_arvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREADY" *) +output wire s_axi_arready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RID" *) +output wire [11 : 0] s_axi_rid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RDATA" *) +output wire [31 : 0] s_axi_rdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RRESP" *) +output wire [1 : 0] s_axi_rresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RLAST" *) +output wire s_axi_rlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RVALID" *) +output wire s_axi_rvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RREADY" *) +input wire s_axi_rready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWID" *) +output wire [11 : 0] m_axi_awid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWADDR" *) +output wire [31 : 0] m_axi_awaddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWLEN" *) +output wire [7 : 0] m_axi_awlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWSIZE" *) +output wire [2 : 0] m_axi_awsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWBURST" *) +output wire [1 : 0] m_axi_awburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWLOCK" *) +output wire [0 : 0] m_axi_awlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWCACHE" *) +output wire [3 : 0] m_axi_awcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWPROT" *) +output wire [2 : 0] m_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWREGION" *) +output wire [3 : 0] m_axi_awregion; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWQOS" *) +output wire [3 : 0] m_axi_awqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWVALID" *) +output wire m_axi_awvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWREADY" *) +input wire m_axi_awready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WDATA" *) +output wire [31 : 0] m_axi_wdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WSTRB" *) +output wire [3 : 0] m_axi_wstrb; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WLAST" *) +output wire m_axi_wlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WVALID" *) +output wire m_axi_wvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WREADY" *) +input wire m_axi_wready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BID" *) +input wire [11 : 0] m_axi_bid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BRESP" *) +input wire [1 : 0] m_axi_bresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BVALID" *) +input wire m_axi_bvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BREADY" *) +output wire m_axi_bready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARID" *) +output wire [11 : 0] m_axi_arid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARADDR" *) +output wire [31 : 0] m_axi_araddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARLEN" *) +output wire [7 : 0] m_axi_arlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARSIZE" *) +output wire [2 : 0] m_axi_arsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARBURST" *) +output wire [1 : 0] m_axi_arburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARLOCK" *) +output wire [0 : 0] m_axi_arlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARCACHE" *) +output wire [3 : 0] m_axi_arcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARPROT" *) +output wire [2 : 0] m_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARREGION" *) +output wire [3 : 0] m_axi_arregion; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARQOS" *) +output wire [3 : 0] m_axi_arqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARVALID" *) +output wire m_axi_arvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARREADY" *) +input wire m_axi_arready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RID" *) +input wire [11 : 0] m_axi_rid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RDATA" *) +input wire [31 : 0] m_axi_rdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RRESP" *) +input wire [1 : 0] m_axi_rresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RLAST" *) +input wire m_axi_rlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RVALID" *) +input wire m_axi_rvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RREADY" *) +output wire m_axi_rready; + + axi_protocol_converter_v2_1_9_axi_protocol_converter #( + .C_FAMILY("zynq"), + .C_M_AXI_PROTOCOL(0), + .C_S_AXI_PROTOCOL(1), + .C_IGNORE_ID(0), + .C_AXI_ID_WIDTH(12), + .C_AXI_ADDR_WIDTH(32), + .C_AXI_DATA_WIDTH(32), + .C_AXI_SUPPORTS_WRITE(1), + .C_AXI_SUPPORTS_READ(1), + .C_AXI_SUPPORTS_USER_SIGNALS(0), + .C_AXI_AWUSER_WIDTH(1), + .C_AXI_ARUSER_WIDTH(1), + .C_AXI_WUSER_WIDTH(1), + .C_AXI_RUSER_WIDTH(1), + .C_AXI_BUSER_WIDTH(1), + .C_TRANSLATION_MODE(2) + ) inst ( + .aclk(aclk), + .aresetn(aresetn), + .s_axi_awid(s_axi_awid), + .s_axi_awaddr(s_axi_awaddr), + .s_axi_awlen(s_axi_awlen), + .s_axi_awsize(s_axi_awsize), + .s_axi_awburst(s_axi_awburst), + .s_axi_awlock(s_axi_awlock), + .s_axi_awcache(s_axi_awcache), + .s_axi_awprot(s_axi_awprot), + .s_axi_awregion(4'H0), + .s_axi_awqos(s_axi_awqos), + .s_axi_awuser(1'H0), + .s_axi_awvalid(s_axi_awvalid), + .s_axi_awready(s_axi_awready), + .s_axi_wid(s_axi_wid), + .s_axi_wdata(s_axi_wdata), + .s_axi_wstrb(s_axi_wstrb), + .s_axi_wlast(s_axi_wlast), + .s_axi_wuser(1'H0), + .s_axi_wvalid(s_axi_wvalid), + .s_axi_wready(s_axi_wready), + .s_axi_bid(s_axi_bid), + .s_axi_bresp(s_axi_bresp), + .s_axi_buser(), + .s_axi_bvalid(s_axi_bvalid), + .s_axi_bready(s_axi_bready), + .s_axi_arid(s_axi_arid), + .s_axi_araddr(s_axi_araddr), + .s_axi_arlen(s_axi_arlen), + .s_axi_arsize(s_axi_arsize), + .s_axi_arburst(s_axi_arburst), + .s_axi_arlock(s_axi_arlock), + .s_axi_arcache(s_axi_arcache), + .s_axi_arprot(s_axi_arprot), + .s_axi_arregion(4'H0), + .s_axi_arqos(s_axi_arqos), + .s_axi_aruser(1'H0), + .s_axi_arvalid(s_axi_arvalid), + .s_axi_arready(s_axi_arready), + .s_axi_rid(s_axi_rid), + .s_axi_rdata(s_axi_rdata), + .s_axi_rresp(s_axi_rresp), + .s_axi_rlast(s_axi_rlast), + .s_axi_ruser(), + .s_axi_rvalid(s_axi_rvalid), + .s_axi_rready(s_axi_rready), + .m_axi_awid(m_axi_awid), + .m_axi_awaddr(m_axi_awaddr), + .m_axi_awlen(m_axi_awlen), + .m_axi_awsize(m_axi_awsize), + .m_axi_awburst(m_axi_awburst), + .m_axi_awlock(m_axi_awlock), + .m_axi_awcache(m_axi_awcache), + .m_axi_awprot(m_axi_awprot), + .m_axi_awregion(m_axi_awregion), + .m_axi_awqos(m_axi_awqos), + .m_axi_awuser(), + .m_axi_awvalid(m_axi_awvalid), + .m_axi_awready(m_axi_awready), + .m_axi_wid(), + .m_axi_wdata(m_axi_wdata), + .m_axi_wstrb(m_axi_wstrb), + .m_axi_wlast(m_axi_wlast), + .m_axi_wuser(), + .m_axi_wvalid(m_axi_wvalid), + .m_axi_wready(m_axi_wready), + .m_axi_bid(m_axi_bid), + .m_axi_bresp(m_axi_bresp), + .m_axi_buser(1'H0), + .m_axi_bvalid(m_axi_bvalid), + .m_axi_bready(m_axi_bready), + .m_axi_arid(m_axi_arid), + .m_axi_araddr(m_axi_araddr), + .m_axi_arlen(m_axi_arlen), + .m_axi_arsize(m_axi_arsize), + .m_axi_arburst(m_axi_arburst), + .m_axi_arlock(m_axi_arlock), + .m_axi_arcache(m_axi_arcache), + .m_axi_arprot(m_axi_arprot), + .m_axi_arregion(m_axi_arregion), + .m_axi_arqos(m_axi_arqos), + .m_axi_aruser(), + .m_axi_arvalid(m_axi_arvalid), + .m_axi_arready(m_axi_arready), + .m_axi_rid(m_axi_rid), + .m_axi_rdata(m_axi_rdata), + .m_axi_rresp(m_axi_rresp), + .m_axi_rlast(m_axi_rlast), + .m_axi_ruser(1'H0), + .m_axi_rvalid(m_axi_rvalid), + .m_axi_rready(m_axi_rready) + ); +endmodule diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/synth/system_design_auto_pc_3.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/synth/system_design_auto_pc_3.v new file mode 100644 index 0000000000000000000000000000000000000000..1b35f18cc055d479f4154761d87223870e3e85da --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/synth/system_design_auto_pc_3.v @@ -0,0 +1,410 @@ +// (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:axi_protocol_converter:2.1 +// IP Revision: 9 + +(* X_CORE_INFO = "axi_protocol_converter_v2_1_9_axi_protocol_converter,Vivado 2016.2" *) +(* CHECK_LICENSE_TYPE = "system_design_auto_pc_3,axi_protocol_converter_v2_1_9_axi_protocol_converter,{}" *) +(* CORE_GENERATION_INFO = "system_design_auto_pc_3,axi_protocol_converter_v2_1_9_axi_protocol_converter,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_protocol_converter,x_ipVersion=2.1,x_ipCoreRevision=9,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_M_AXI_PROTOCOL=0,C_S_AXI_PROTOCOL=1,C_IGNORE_ID=0,C_AXI_ID_WIDTH=12,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=32,C_AXI_SUPPORTS_WRITE=1,C_AXI_SUPPORTS_READ=1,C_AXI_SUPPORTS_USER_SIGNALS=0,C_AXI_AWUSER_WIDTH=1,C_AXI_ARUSER_WIDTH=1,C_AXI_WUSER\ +_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_TRANSLATION_MODE=2}" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) +module system_design_auto_pc_3 ( + aclk, + aresetn, + s_axi_awid, + s_axi_awaddr, + s_axi_awlen, + s_axi_awsize, + s_axi_awburst, + s_axi_awlock, + s_axi_awcache, + s_axi_awprot, + s_axi_awqos, + s_axi_awvalid, + s_axi_awready, + s_axi_wid, + s_axi_wdata, + s_axi_wstrb, + s_axi_wlast, + s_axi_wvalid, + s_axi_wready, + s_axi_bid, + s_axi_bresp, + s_axi_bvalid, + s_axi_bready, + s_axi_arid, + s_axi_araddr, + s_axi_arlen, + s_axi_arsize, + s_axi_arburst, + s_axi_arlock, + s_axi_arcache, + s_axi_arprot, + s_axi_arqos, + s_axi_arvalid, + s_axi_arready, + s_axi_rid, + s_axi_rdata, + s_axi_rresp, + s_axi_rlast, + s_axi_rvalid, + s_axi_rready, + m_axi_awid, + m_axi_awaddr, + m_axi_awlen, + m_axi_awsize, + m_axi_awburst, + m_axi_awlock, + m_axi_awcache, + m_axi_awprot, + m_axi_awregion, + m_axi_awqos, + m_axi_awvalid, + m_axi_awready, + m_axi_wdata, + m_axi_wstrb, + m_axi_wlast, + m_axi_wvalid, + m_axi_wready, + m_axi_bid, + m_axi_bresp, + m_axi_bvalid, + m_axi_bready, + m_axi_arid, + m_axi_araddr, + m_axi_arlen, + m_axi_arsize, + m_axi_arburst, + m_axi_arlock, + m_axi_arcache, + m_axi_arprot, + m_axi_arregion, + m_axi_arqos, + m_axi_arvalid, + m_axi_arready, + m_axi_rid, + m_axi_rdata, + m_axi_rresp, + m_axi_rlast, + m_axi_rvalid, + m_axi_rready +); + +(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK CLK" *) +input wire aclk; +(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RST RST" *) +input wire aresetn; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWID" *) +input wire [11 : 0] s_axi_awid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *) +input wire [31 : 0] s_axi_awaddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLEN" *) +input wire [3 : 0] s_axi_awlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE" *) +input wire [2 : 0] s_axi_awsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWBURST" *) +input wire [1 : 0] s_axi_awburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK" *) +input wire [1 : 0] s_axi_awlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE" *) +input wire [3 : 0] s_axi_awcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *) +input wire [2 : 0] s_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWQOS" *) +input wire [3 : 0] s_axi_awqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWVALID" *) +input wire s_axi_awvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREADY" *) +output wire s_axi_awready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WID" *) +input wire [11 : 0] s_axi_wid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WDATA" *) +input wire [31 : 0] s_axi_wdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WSTRB" *) +input wire [3 : 0] s_axi_wstrb; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WLAST" *) +input wire s_axi_wlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WVALID" *) +input wire s_axi_wvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WREADY" *) +output wire s_axi_wready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BID" *) +output wire [11 : 0] s_axi_bid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BRESP" *) +output wire [1 : 0] s_axi_bresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BVALID" *) +output wire s_axi_bvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BREADY" *) +input wire s_axi_bready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARID" *) +input wire [11 : 0] s_axi_arid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARADDR" *) +input wire [31 : 0] s_axi_araddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLEN" *) +input wire [3 : 0] s_axi_arlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE" *) +input wire [2 : 0] s_axi_arsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARBURST" *) +input wire [1 : 0] s_axi_arburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK" *) +input wire [1 : 0] s_axi_arlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE" *) +input wire [3 : 0] s_axi_arcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARPROT" *) +input wire [2 : 0] s_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARQOS" *) +input wire [3 : 0] s_axi_arqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARVALID" *) +input wire s_axi_arvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREADY" *) +output wire s_axi_arready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RID" *) +output wire [11 : 0] s_axi_rid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RDATA" *) +output wire [31 : 0] s_axi_rdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RRESP" *) +output wire [1 : 0] s_axi_rresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RLAST" *) +output wire s_axi_rlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RVALID" *) +output wire s_axi_rvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RREADY" *) +input wire s_axi_rready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWID" *) +output wire [11 : 0] m_axi_awid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWADDR" *) +output wire [31 : 0] m_axi_awaddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWLEN" *) +output wire [7 : 0] m_axi_awlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWSIZE" *) +output wire [2 : 0] m_axi_awsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWBURST" *) +output wire [1 : 0] m_axi_awburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWLOCK" *) +output wire [0 : 0] m_axi_awlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWCACHE" *) +output wire [3 : 0] m_axi_awcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWPROT" *) +output wire [2 : 0] m_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWREGION" *) +output wire [3 : 0] m_axi_awregion; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWQOS" *) +output wire [3 : 0] m_axi_awqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWVALID" *) +output wire m_axi_awvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWREADY" *) +input wire m_axi_awready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WDATA" *) +output wire [31 : 0] m_axi_wdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WSTRB" *) +output wire [3 : 0] m_axi_wstrb; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WLAST" *) +output wire m_axi_wlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WVALID" *) +output wire m_axi_wvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WREADY" *) +input wire m_axi_wready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BID" *) +input wire [11 : 0] m_axi_bid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BRESP" *) +input wire [1 : 0] m_axi_bresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BVALID" *) +input wire m_axi_bvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BREADY" *) +output wire m_axi_bready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARID" *) +output wire [11 : 0] m_axi_arid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARADDR" *) +output wire [31 : 0] m_axi_araddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARLEN" *) +output wire [7 : 0] m_axi_arlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARSIZE" *) +output wire [2 : 0] m_axi_arsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARBURST" *) +output wire [1 : 0] m_axi_arburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARLOCK" *) +output wire [0 : 0] m_axi_arlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARCACHE" *) +output wire [3 : 0] m_axi_arcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARPROT" *) +output wire [2 : 0] m_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARREGION" *) +output wire [3 : 0] m_axi_arregion; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARQOS" *) +output wire [3 : 0] m_axi_arqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARVALID" *) +output wire m_axi_arvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARREADY" *) +input wire m_axi_arready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RID" *) +input wire [11 : 0] m_axi_rid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RDATA" *) +input wire [31 : 0] m_axi_rdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RRESP" *) +input wire [1 : 0] m_axi_rresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RLAST" *) +input wire m_axi_rlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RVALID" *) +input wire m_axi_rvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RREADY" *) +output wire m_axi_rready; + + axi_protocol_converter_v2_1_9_axi_protocol_converter #( + .C_FAMILY("zynq"), + .C_M_AXI_PROTOCOL(0), + .C_S_AXI_PROTOCOL(1), + .C_IGNORE_ID(0), + .C_AXI_ID_WIDTH(12), + .C_AXI_ADDR_WIDTH(32), + .C_AXI_DATA_WIDTH(32), + .C_AXI_SUPPORTS_WRITE(1), + .C_AXI_SUPPORTS_READ(1), + .C_AXI_SUPPORTS_USER_SIGNALS(0), + .C_AXI_AWUSER_WIDTH(1), + .C_AXI_ARUSER_WIDTH(1), + .C_AXI_WUSER_WIDTH(1), + .C_AXI_RUSER_WIDTH(1), + .C_AXI_BUSER_WIDTH(1), + .C_TRANSLATION_MODE(2) + ) inst ( + .aclk(aclk), + .aresetn(aresetn), + .s_axi_awid(s_axi_awid), + .s_axi_awaddr(s_axi_awaddr), + .s_axi_awlen(s_axi_awlen), + .s_axi_awsize(s_axi_awsize), + .s_axi_awburst(s_axi_awburst), + .s_axi_awlock(s_axi_awlock), + .s_axi_awcache(s_axi_awcache), + .s_axi_awprot(s_axi_awprot), + .s_axi_awregion(4'H0), + .s_axi_awqos(s_axi_awqos), + .s_axi_awuser(1'H0), + .s_axi_awvalid(s_axi_awvalid), + .s_axi_awready(s_axi_awready), + .s_axi_wid(s_axi_wid), + .s_axi_wdata(s_axi_wdata), + .s_axi_wstrb(s_axi_wstrb), + .s_axi_wlast(s_axi_wlast), + .s_axi_wuser(1'H0), + .s_axi_wvalid(s_axi_wvalid), + .s_axi_wready(s_axi_wready), + .s_axi_bid(s_axi_bid), + .s_axi_bresp(s_axi_bresp), + .s_axi_buser(), + .s_axi_bvalid(s_axi_bvalid), + .s_axi_bready(s_axi_bready), + .s_axi_arid(s_axi_arid), + .s_axi_araddr(s_axi_araddr), + .s_axi_arlen(s_axi_arlen), + .s_axi_arsize(s_axi_arsize), + .s_axi_arburst(s_axi_arburst), + .s_axi_arlock(s_axi_arlock), + .s_axi_arcache(s_axi_arcache), + .s_axi_arprot(s_axi_arprot), + .s_axi_arregion(4'H0), + .s_axi_arqos(s_axi_arqos), + .s_axi_aruser(1'H0), + .s_axi_arvalid(s_axi_arvalid), + .s_axi_arready(s_axi_arready), + .s_axi_rid(s_axi_rid), + .s_axi_rdata(s_axi_rdata), + .s_axi_rresp(s_axi_rresp), + .s_axi_rlast(s_axi_rlast), + .s_axi_ruser(), + .s_axi_rvalid(s_axi_rvalid), + .s_axi_rready(s_axi_rready), + .m_axi_awid(m_axi_awid), + .m_axi_awaddr(m_axi_awaddr), + .m_axi_awlen(m_axi_awlen), + .m_axi_awsize(m_axi_awsize), + .m_axi_awburst(m_axi_awburst), + .m_axi_awlock(m_axi_awlock), + .m_axi_awcache(m_axi_awcache), + .m_axi_awprot(m_axi_awprot), + .m_axi_awregion(m_axi_awregion), + .m_axi_awqos(m_axi_awqos), + .m_axi_awuser(), + .m_axi_awvalid(m_axi_awvalid), + .m_axi_awready(m_axi_awready), + .m_axi_wid(), + .m_axi_wdata(m_axi_wdata), + .m_axi_wstrb(m_axi_wstrb), + .m_axi_wlast(m_axi_wlast), + .m_axi_wuser(), + .m_axi_wvalid(m_axi_wvalid), + .m_axi_wready(m_axi_wready), + .m_axi_bid(m_axi_bid), + .m_axi_bresp(m_axi_bresp), + .m_axi_buser(1'H0), + .m_axi_bvalid(m_axi_bvalid), + .m_axi_bready(m_axi_bready), + .m_axi_arid(m_axi_arid), + .m_axi_araddr(m_axi_araddr), + .m_axi_arlen(m_axi_arlen), + .m_axi_arsize(m_axi_arsize), + .m_axi_arburst(m_axi_arburst), + .m_axi_arlock(m_axi_arlock), + .m_axi_arcache(m_axi_arcache), + .m_axi_arprot(m_axi_arprot), + .m_axi_arregion(m_axi_arregion), + .m_axi_arqos(m_axi_arqos), + .m_axi_aruser(), + .m_axi_arvalid(m_axi_arvalid), + .m_axi_arready(m_axi_arready), + .m_axi_rid(m_axi_rid), + .m_axi_rdata(m_axi_rdata), + .m_axi_rresp(m_axi_rresp), + .m_axi_rlast(m_axi_rlast), + .m_axi_ruser(1'H0), + .m_axi_rvalid(m_axi_rvalid), + .m_axi_rready(m_axi_rready) + ); +endmodule diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.dcp new file mode 100644 index 0000000000000000000000000000000000000000..39605819ec63321fb1238e016d712e71cc8b98c4 Binary files /dev/null and b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.dcp differ diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.xci new file mode 100644 index 0000000000000000000000000000000000000000..9f8cb6a6cc40deba92f469a8bf600998f249b4b5 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.xci @@ -0,0 +1,191 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>xci</spirit:library> + <spirit:name>unknown</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:componentInstances> + <spirit:componentInstance> + <spirit:instanceName>system_design_auto_pc_3</spirit:instanceName> + <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="axi_protocol_converter" spirit:version="2.1"/> + <spirit:configurableElementValues> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ASSOCIATED_BUSIF">S_AXI:M_AXI</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ASSOCIATED_RESET">ARESETN</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.FREQ_HZ">62500000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.BUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ">62500000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_PROT">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH">16</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL">AXI4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.RUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.WUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RST.POLARITY">ACTIVE_LOW</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RST.TYPE">INTERCONNECT</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.BUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ">62500000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.MAX_BURST_LENGTH">16</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL">AXI3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.WUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_BUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_RUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_SUPPORTS_READ">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_WUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IGNORE_ID">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_PROTOCOL">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_PROTOCOL">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TRANSLATION_MODE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ARUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AWUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">system_design_auto_pc_3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MI_PROTOCOL">AXI4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SI_PROTOCOL">AXI3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TRANSLATION_MODE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z030</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg676</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VHDL</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">C</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Integrator</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">9</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">../../ipshared</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2016.2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue> + </spirit:configurableElementValues> + <spirit:vendorExtensions> + <xilinx:componentInstanceExtensions> + <xilinx:configElementInfos> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLK.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLK.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ARUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.AWUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.BUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_PROT" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.RUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.WUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.RST.POLARITY" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ARUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.AWUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.BUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.WUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ADDR_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ARUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.AWUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.BUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.DATA_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MI_PROTOCOL" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.READ_WRITE_MODE" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.SI_PROTOCOL" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.WUSER_WIDTH" xilinx:valueSource="propagated"/> + </xilinx:configElementInfos> + </xilinx:componentInstanceExtensions> + </spirit:vendorExtensions> + </spirit:componentInstance> + </spirit:componentInstances> +</spirit:design> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.xml new file mode 100644 index 0000000000000000000000000000000000000000..302216c2b2eff8071b13f81d0755d62b4ae0faf9 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3.xml @@ -0,0 +1,4475 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>customized_ip</spirit:library> + <spirit:name>system_design_auto_pc_3</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:busInterfaces> + <spirit:busInterface> + <spirit:name>S_AXI</spirit:name> + <spirit:displayName>S_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awregion</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arregion</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>DATA_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PROTOCOL</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.PROTOCOL">AXI3</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ">62500000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ID_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH">12</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ADDR_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>AWUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.AWUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ARUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.ARUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>WUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.WUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>BUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.BUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>READ_WRITE_MODE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE">READ_WRITE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_BURST">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_LOCK</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_PROT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_PROT">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_CACHE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_QOS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_QOS">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_REGION</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_REGION">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_WSTRB</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_RRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SUPPORTS_NARROW_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_READ_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING">8</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING">8</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MAX_BURST_LENGTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.MAX_BURST_LENGTH">16</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M_AXI</spirit:name> + <spirit:displayName>M_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>DATA_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PROTOCOL</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.PROTOCOL">AXI4</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ">62500000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ID_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH">12</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ADDR_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>AWUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.AWUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ARUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.ARUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>WUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.WUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.RUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>BUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.BUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>READ_WRITE_MODE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE">READ_WRITE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_BURST">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_LOCK</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_PROT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_PROT">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_CACHE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_QOS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_QOS">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_REGION</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_REGION">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_WSTRB</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_RRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SUPPORTS_NARROW_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.SUPPORTS_NARROW_BURST">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_READ_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING">8</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING">8</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MAX_BURST_LENGTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH">16</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>CLK</spirit:name> + <spirit:displayName>CLK</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>aclk</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:displayName>aclk frequency</spirit:displayName> + <spirit:description>aclk frequency</spirit:description> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.CLK.FREQ_HZ" spirit:minimum="1" spirit:maximum="1000000000" spirit:rangeType="long">62500000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_BUSIF</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK.ASSOCIATED_BUSIF">S_AXI:M_AXI</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_RESET</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK.ASSOCIATED_RESET">ARESETN</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>RST</spirit:name> + <spirit:displayName>RST</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>aresetn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>POLARITY</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.RST.POLARITY">ACTIVE_LOW</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>TYPE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.RST.TYPE">INTERCONNECT</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + </spirit:busInterfaces> + <spirit:model> + <spirit:views> + <spirit:view> + <spirit:name>xilinx_verilogsynthesis</spirit:name> + <spirit:displayName>Verilog Synthesis</spirit:displayName> + <spirit:envIdentifier>verilogSource:vivado.xilinx.com:synthesis</spirit:envIdentifier> + <spirit:language>verilog</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_generic_baseblocks_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_blk_mem_gen_8_3__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_fifo_generator_13_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_axi_data_fifo_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_axi_infrastructure_1_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_axi_register_slice_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>3609f87c</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5a6f9981</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_synthesisconstraints</spirit:name> + <spirit:displayName>Synthesis Constraints</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:synthesis.constraints</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_synthesisconstraints_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:27 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>3609f87c</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5a6f9981</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_verilogsynthesiswrapper</spirit:name> + <spirit:displayName>Verilog Synthesis Wrapper</spirit:displayName> + <spirit:envIdentifier>verilogSource:vivado.xilinx.com:synthesis.wrapper</spirit:envIdentifier> + <spirit:language>verilog</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesiswrapper_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:27 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>3609f87c</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5a6f9981</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_verilogbehavioralsimulation</spirit:name> + <spirit:displayName>Verilog Simulation</spirit:displayName> + <spirit:envIdentifier>verilogSource:vivado.xilinx.com:simulation</spirit:envIdentifier> + <spirit:language>verilog</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_xilinx_com_ip_generic_baseblocks_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_xilinx_com_ip_fifo_generator_13_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_data_fifo_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_infrastructure_1_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_register_slice_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>3609f87c</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>b18269a0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_verilogsimulationwrapper</spirit:name> + <spirit:displayName>Verilog Simulation Wrapper</spirit:displayName> + <spirit:envIdentifier>verilogSource:vivado.xilinx.com:simulation.wrapper</spirit:envIdentifier> + <spirit:language>verilog</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsimulationwrapper_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:27 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>3609f87c</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>b18269a0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_externalfiles</spirit:name> + <spirit:displayName>External Files</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:external.files</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_externalfiles_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:26:55 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>3609f87c</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5a6f9981</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + </spirit:views> + <spirit:ports> + <spirit:port> + <spirit:name>aclk</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>aresetn</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))){0}}" spirit:bitStringLength="4">0x000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))){0}}" spirit:bitStringLength="32">0x00000000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awaddr" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8)){0}}" spirit:bitStringLength="8">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awlen" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="3">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awsize" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="2">0x1</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awburst" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 2 : 1) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 2 : 1)){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awlock" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awcache" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="3">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awprot" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awregion</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awregion" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI4") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awqos" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awuser" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.AWUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))){0}}" spirit:bitStringLength="4">0x000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI3") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))){0}}" spirit:bitStringLength="32">0x00000000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wdata" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH')) / 8) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH')) / 8)){1}}" spirit:bitStringLength="4">0xF</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wstrb" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x1</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wlast" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wuser" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.WUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_bid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bresp" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_buser</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_buser" xilinx:dependency="(spirit:decode(id('PARAM_VALUE.BUSER_WIDTH')) != 0)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_bready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))){0}}" spirit:bitStringLength="4">0x000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))){0}}" spirit:bitStringLength="32">0x00000000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_araddr" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 4 : 8)){0}}" spirit:bitStringLength="8">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arlen" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="3">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arsize" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="2">0x1</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arburst" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 2 : 1) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_S_AXI_PROTOCOL')) = 1) ? 2 : 1)){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arlock" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arcache" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="3">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arprot" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arregion</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arregion" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) = "AXI4") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arqos" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_aruser" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ARUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rdata" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rresp" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rlast" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.SI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_ruser" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) ) and (spirit:decode(id('PARAM_VALUE.RUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awaddr" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_M_AXI_PROTOCOL')) = 1) ? 4 : 8) - 1)">7</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awlen" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awsize" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awburst" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_M_AXI_PROTOCOL')) = 1) ? 2 : 1) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awlock" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awcache" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awprot" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awregion" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) = "AXI4") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awqos" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awuser" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.AWUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) = "AXI3") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wdata" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH')) / 8) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wstrb" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wlast" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wuser" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.WUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_bid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))){0}}" spirit:bitStringLength="4">0x000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_bid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="2">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_bresp" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_buser</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_buser" xilinx:dependency="(spirit:decode(id('PARAM_VALUE.BUSER_WIDTH')) != 0)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_bvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_bready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_bready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_araddr" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_M_AXI_PROTOCOL')) = 1) ? 4 : 8) - 1)">7</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arlen" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arsize" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arburst" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_M_AXI_PROTOCOL')) = 1) ? 2 : 1) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arlock" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arcache" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arprot" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arregion" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) = "AXI4") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arqos" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_aruser" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ARUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))){0}}" spirit:bitStringLength="4">0x000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_rid" xilinx:dependency="( ( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) ) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))){0}}" spirit:bitStringLength="32">0x00000000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_rdata" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="2">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_rresp" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x1</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_rlast" xilinx:dependency="( (spirit:decode(id('PARAM_VALUE.MI_PROTOCOL')) != "AXI4LITE") and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_ruser" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1) ) and (spirit:decode(id('PARAM_VALUE.RUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_rvalid" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_rready" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_READ')) = 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + </spirit:ports> + <spirit:modelParameters> + <spirit:modelParameter xsi:type="spirit:nameValueTypeType" spirit:dataType="string"> + <spirit:name>C_FAMILY</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_M_AXI_PROTOCOL</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_PROTOCOL">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_S_AXI_PROTOCOL</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_PROTOCOL">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_IGNORE_ID</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_IGNORE_ID">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_ID_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_ID_WIDTH">12</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_ADDR_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_ADDR_WIDTH">32</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_DATA_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_DATA_WIDTH">32</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_SUPPORTS_WRITE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_SUPPORTS_WRITE">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_SUPPORTS_READ</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_SUPPORTS_READ">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_SUPPORTS_USER_SIGNALS</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_AWUSER_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_ARUSER_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_WUSER_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_WUSER_WIDTH">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_RUSER_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_RUSER_WIDTH">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_BUSER_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_BUSER_WIDTH">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_TRANSLATION_MODE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_TRANSLATION_MODE">2</spirit:value> + </spirit:modelParameter> + </spirit:modelParameters> + </spirit:model> + <spirit:choices> + <spirit:choice> + <spirit:name>choice_list_40181835</spirit:name> + <spirit:enumeration>32</spirit:enumeration> + <spirit:enumeration>64</spirit:enumeration> + <spirit:enumeration>128</spirit:enumeration> + <spirit:enumeration>256</spirit:enumeration> + <spirit:enumeration>512</spirit:enumeration> + <spirit:enumeration>1024</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_list_7235ff92</spirit:name> + <spirit:enumeration>AXI4</spirit:enumeration> + <spirit:enumeration>AXI3</spirit:enumeration> + <spirit:enumeration>AXI4LITE</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_list_dfc23cd1</spirit:name> + <spirit:enumeration>AXI4</spirit:enumeration> + <spirit:enumeration>AXI4LITE</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_5714a2f2</spirit:name> + <spirit:enumeration spirit:text="Conversion: Incompatible bursts split into multiple transactions">2</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_940700f2</spirit:name> + <spirit:enumeration spirit:text="READ WRITE">READ_WRITE</spirit:enumeration> + <spirit:enumeration spirit:text="READ ONLY">READ_ONLY</spirit:enumeration> + <spirit:enumeration spirit:text="WRITE ONLY">WRITE_ONLY</spirit:enumeration> + </spirit:choice> + </spirit:choices> + <spirit:fileSets> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_generic_baseblocks_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_and.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_latch_and.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_latch_or.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_or.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_command_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_mask_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_mask.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_mask_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_mask.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_mux_enc.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_mux.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_nto1_mux.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="generic_baseblocks" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="4e94621c"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_blk_mem_gen_8_3__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/blk_mem_gen_v8_3/hdl/blk_mem_gen_v8_3_vhsyn_rfs.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>blk_mem_gen_v8_3_3</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/blk_mem_gen_v8_3/hdl/blk_mem_gen_v8_3.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>blk_mem_gen_v8_3_3</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="blk_mem_gen" xilinx:version="8.3" xilinx:isGenerated="true" xilinx:checksum="4a61723d"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_fifo_generator_13_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/fifo_generator_v13_1/hdl/fifo_generator_v13_1_vhsyn_rfs.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>fifo_generator_v13_1_1</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/fifo_generator_v13_1/hdl/fifo_generator_v13_1.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>fifo_generator_v13_1_1</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="fifo_generator" xilinx:version="13.1" xilinx:isGenerated="true" xilinx:checksum="f2c57a43"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_axi_data_fifo_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_fifo_gen.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_reg_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_ndeep_srl.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axi_data_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_data_fifo" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="a5b90438"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_axi_infrastructure_1_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_0_header.vh</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:isIncludeFile>true</spirit:isIncludeFile> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_axi2vector.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_axic_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_vector2axi.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_infrastructure" xilinx:version="1.1" xilinx:isGenerated="true" xilinx:checksum="3d9dbc22"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_axi_register_slice_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_register_slice_v2_1/hdl/verilog/axi_register_slice_v2_1_axic_register_slice.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_register_slice_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_register_slice_v2_1/hdl/verilog/axi_register_slice_v2_1_axi_register_slice.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_register_slice_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_register_slice" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="da4b185e"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_a_axi3_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_axi3_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_axilite_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_r_axi3_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_w_axi3_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b_downsizer.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_decerr_slave.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_simple_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_wrap_cmd.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_incr_cmd.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_wr_cmd_fsm.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_rd_cmd_fsm.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_cmd_translator.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_b_channel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_r_channel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_aw_channel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_ar_channel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_auto_pc_3_ooc.xdc</spirit:name> + <spirit:userFileType>xdc</spirit:userFileType> + <spirit:userFileType>USED_IN_implementation</spirit:userFileType> + <spirit:userFileType>USED_IN_out_of_context</spirit:userFileType> + <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_axi_protocol_converter.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_synthesisconstraints_view_fileset</spirit:name> + <spirit:file> + <spirit:name>system_design_auto_pc_3_ooc.xdc</spirit:name> + <spirit:userFileType>xdc</spirit:userFileType> + <spirit:userFileType>USED_IN_implementation</spirit:userFileType> + <spirit:userFileType>USED_IN_out_of_context</spirit:userFileType> + <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesiswrapper_view_fileset</spirit:name> + <spirit:file> + <spirit:name>synth/system_design_auto_pc_3.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_xilinx_com_ip_generic_baseblocks_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_and.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_latch_and.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_latch_or.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_or.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_command_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_mask_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_mask.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_mask_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_mask.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_mux_enc.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_mux.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_nto1_mux.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="generic_baseblocks" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="4e94621c"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_xilinx_com_ip_fifo_generator_13_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/fifo_generator_v13_1/simulation/fifo_generator_vlog_beh.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>fifo_generator_v13_1_1</spirit:logicalName> + <spirit:exportedName>fifo_generator_vlog_beh</spirit:exportedName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/fifo_generator_v13_1/hdl/fifo_generator_v13_1_rfs.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>fifo_generator_v13_1_1</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/fifo_generator_v13_1/hdl/fifo_generator_v13_1_rfs.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>fifo_generator_v13_1_1</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="fifo_generator" xilinx:version="13.1" xilinx:isGenerated="true" xilinx:checksum="2bf3d781"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_data_fifo_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_fifo_gen.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_reg_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_ndeep_srl.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axi_data_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_data_fifo" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="6a3f9594"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_infrastructure_1_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_0_header.vh</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:isIncludeFile>true</spirit:isIncludeFile> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_axi2vector.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_axic_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_vector2axi.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_infrastructure" xilinx:version="1.1" xilinx:isGenerated="true" xilinx:checksum="3d9dbc22"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_register_slice_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_register_slice_v2_1/hdl/verilog/axi_register_slice_v2_1_axic_register_slice.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_register_slice_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_register_slice_v2_1/hdl/verilog/axi_register_slice_v2_1_axi_register_slice.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_register_slice_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_register_slice" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="da4b185e"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_a_axi3_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_axi3_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_axilite_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_r_axi3_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_w_axi3_conv.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b_downsizer.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_decerr_slave.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_simple_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_wrap_cmd.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_incr_cmd.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_wr_cmd_fsm.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_rd_cmd_fsm.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_cmd_translator.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_b_channel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_r_channel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_aw_channel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s_ar_channel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_b2s.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_axi_protocol_converter.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_protocol_converter_v2_1_9</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsimulationwrapper_view_fileset</spirit:name> + <spirit:file> + <spirit:name>sim/system_design_auto_pc_3.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_externalfiles_view_fileset</spirit:name> + <spirit:file> + <spirit:name>system_design_auto_pc_3.dcp</spirit:name> + <spirit:userFileType>dcp</spirit:userFileType> + <spirit:userFileType>USED_IN_implementation</spirit:userFileType> + <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_auto_pc_3_stub.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_auto_pc_3_stub.vhdl</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_auto_pc_3_sim_netlist.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_simulation</spirit:userFileType> + <spirit:userFileType>USED_IN_single_language</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_auto_pc_3_sim_netlist.vhdl</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_simulation</spirit:userFileType> + <spirit:userFileType>USED_IN_single_language</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + </spirit:fileSets> + <spirit:description>The AXI Protocol Converter IP provides the facility to change the protocol of the connection between an AXI4/AXI3/AXI4-Lite master and slave. It will convert between AXI4->AXI3/AXI4-Lite, AXI3->AXI4/AXI4-Lite, AXI4-Lite->AXI4/AXI3.</spirit:description> + <spirit:parameters> + <spirit:parameter> + <spirit:name>SI_PROTOCOL</spirit:name> + <spirit:displayName>SI PROTOCOL</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SI_PROTOCOL" spirit:choiceRef="choice_list_7235ff92" spirit:order="2">AXI3</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MI_PROTOCOL</spirit:name> + <spirit:displayName>MI PROTOCOL</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MI_PROTOCOL" spirit:choiceRef="choice_list_dfc23cd1" spirit:order="3">AXI4</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>READ_WRITE_MODE</spirit:name> + <spirit:displayName>READ_WRITE Mode</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.READ_WRITE_MODE" spirit:choiceRef="choice_pairs_940700f2" spirit:order="4">READ_WRITE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>TRANSLATION_MODE</spirit:name> + <spirit:displayName>Translation Mode</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.TRANSLATION_MODE" spirit:choiceRef="choice_pairs_5714a2f2" spirit:order="5">2</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ADDR_WIDTH</spirit:name> + <spirit:displayName>Address Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.ADDR_WIDTH" spirit:order="6" spirit:minimum="12" spirit:maximum="64" spirit:rangeType="long">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>DATA_WIDTH</spirit:name> + <spirit:displayName>Data Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.DATA_WIDTH" spirit:choiceRef="choice_list_40181835" spirit:order="7">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ID_WIDTH</spirit:name> + <spirit:displayName>ID Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.ID_WIDTH" spirit:order="8" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>AWUSER_WIDTH</spirit:name> + <spirit:displayName>AWUSER_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.AWUSER_WIDTH" spirit:order="9" spirit:minimum="0" spirit:maximum="1024" spirit:rangeType="long">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ARUSER_WIDTH</spirit:name> + <spirit:displayName>ARUSER_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.ARUSER_WIDTH" spirit:order="10" spirit:minimum="0" spirit:maximum="1024" spirit:rangeType="long">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RUSER_WIDTH</spirit:name> + <spirit:displayName>RUSER_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.RUSER_WIDTH" spirit:order="11" spirit:minimum="0" spirit:maximum="1024" spirit:rangeType="long">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>WUSER_WIDTH</spirit:name> + <spirit:displayName>WUSER_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.WUSER_WIDTH" spirit:order="12" spirit:minimum="0" spirit:maximum="1024" spirit:rangeType="long">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>BUSER_WIDTH</spirit:name> + <spirit:displayName>BUSER_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.BUSER_WIDTH" spirit:order="13" spirit:minimum="0" spirit:maximum="1024" spirit:rangeType="long">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>Component_Name</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">system_design_auto_pc_3</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:coreExtensions> + <xilinx:displayName>AXI Protocol Converter</xilinx:displayName> + <xilinx:coreRevision>9</xilinx:coreRevision> + <xilinx:configElementInfos> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLK.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLK.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ARUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.AWUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.BUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_PROT" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.RUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.WUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.RST.POLARITY" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ARUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.AWUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.BUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.WUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ADDR_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ARUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.AWUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.BUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.DATA_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MI_PROTOCOL" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.READ_WRITE_MODE" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.SI_PROTOCOL" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.WUSER_WIDTH" xilinx:valueSource="propagated"/> + </xilinx:configElementInfos> + </xilinx:coreExtensions> + <xilinx:packagingInfo> + <xilinx:xilinxVersion>2016.2</xilinx:xilinxVersion> + <xilinx:checksum xilinx:scope="busInterfaces" xilinx:value="35a0dd09"/> + <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="64031b26"/> + <xilinx:checksum xilinx:scope="ports" xilinx:value="ac342c66"/> + <xilinx:checksum xilinx:scope="hdlParameters" xilinx:value="7ccb8402"/> + <xilinx:checksum xilinx:scope="parameters" xilinx:value="c67d7c48"/> + </xilinx:packagingInfo> + </spirit:vendorExtensions> +</spirit:component> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_ooc.xdc b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_ooc.xdc new file mode 100644 index 0000000000000000000000000000000000000000..8808ceb4aec5c51eb9acf25ab851e7d7e942ea6a --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_ooc.xdc @@ -0,0 +1,57 @@ +# (c) Copyright 2012-2017 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +# DO NOT MODIFY THIS FILE. +# ######################################################### +# +# This XDC is used only in OOC mode for synthesis, implementation +# +# ######################################################### + + +create_clock -period 16 -name aclk [get_ports aclk] + + diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.v new file mode 100644 index 0000000000000000000000000000000000000000..77d596814402bc073cf57d23642108c8876eb074 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.v @@ -0,0 +1,765 @@ +// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +// Date : Mon Dec 18 11:26:55 2017 +// Host : lapte24154 running 64-bit openSUSE Leap 42.2 +// Command : write_verilog -force -mode funcsim +// /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.v +// Design : system_design_auto_pc_3 +// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified +// or synthesized. This netlist cannot be used for SDF annotated simulation. +// Device : xc7z030ffg676-2 +// -------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +(* CHECK_LICENSE_TYPE = "system_design_auto_pc_3,axi_protocol_converter_v2_1_9_axi_protocol_converter,{}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* X_CORE_INFO = "axi_protocol_converter_v2_1_9_axi_protocol_converter,Vivado 2016.2" *) +(* NotValidForBitStream *) +module system_design_auto_pc_3 + (aclk, + aresetn, + s_axi_awid, + s_axi_awaddr, + s_axi_awlen, + s_axi_awsize, + s_axi_awburst, + s_axi_awlock, + s_axi_awcache, + s_axi_awprot, + s_axi_awqos, + s_axi_awvalid, + s_axi_awready, + s_axi_wid, + s_axi_wdata, + s_axi_wstrb, + s_axi_wlast, + s_axi_wvalid, + s_axi_wready, + s_axi_bid, + s_axi_bresp, + s_axi_bvalid, + s_axi_bready, + s_axi_arid, + s_axi_araddr, + s_axi_arlen, + s_axi_arsize, + s_axi_arburst, + s_axi_arlock, + s_axi_arcache, + s_axi_arprot, + s_axi_arqos, + s_axi_arvalid, + s_axi_arready, + s_axi_rid, + s_axi_rdata, + s_axi_rresp, + s_axi_rlast, + s_axi_rvalid, + s_axi_rready, + m_axi_awid, + m_axi_awaddr, + m_axi_awlen, + m_axi_awsize, + m_axi_awburst, + m_axi_awlock, + m_axi_awcache, + m_axi_awprot, + m_axi_awregion, + m_axi_awqos, + m_axi_awvalid, + m_axi_awready, + m_axi_wdata, + m_axi_wstrb, + m_axi_wlast, + m_axi_wvalid, + m_axi_wready, + m_axi_bid, + m_axi_bresp, + m_axi_bvalid, + m_axi_bready, + m_axi_arid, + m_axi_araddr, + m_axi_arlen, + m_axi_arsize, + m_axi_arburst, + m_axi_arlock, + m_axi_arcache, + m_axi_arprot, + m_axi_arregion, + m_axi_arqos, + m_axi_arvalid, + m_axi_arready, + m_axi_rid, + m_axi_rdata, + m_axi_rresp, + m_axi_rlast, + m_axi_rvalid, + m_axi_rready); + (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK CLK" *) input aclk; + (* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RST RST" *) input aresetn; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWID" *) input [11:0]s_axi_awid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *) input [31:0]s_axi_awaddr; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLEN" *) input [3:0]s_axi_awlen; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE" *) input [2:0]s_axi_awsize; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWBURST" *) input [1:0]s_axi_awburst; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK" *) input [1:0]s_axi_awlock; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE" *) input [3:0]s_axi_awcache; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *) input [2:0]s_axi_awprot; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWQOS" *) input [3:0]s_axi_awqos; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWVALID" *) input s_axi_awvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWREADY" *) output s_axi_awready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WID" *) input [11:0]s_axi_wid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WDATA" *) input [31:0]s_axi_wdata; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WSTRB" *) input [3:0]s_axi_wstrb; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WLAST" *) input s_axi_wlast; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WVALID" *) input s_axi_wvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI WREADY" *) output s_axi_wready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BID" *) output [11:0]s_axi_bid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BRESP" *) output [1:0]s_axi_bresp; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BVALID" *) output s_axi_bvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI BREADY" *) input s_axi_bready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARID" *) input [11:0]s_axi_arid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARADDR" *) input [31:0]s_axi_araddr; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLEN" *) input [3:0]s_axi_arlen; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE" *) input [2:0]s_axi_arsize; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARBURST" *) input [1:0]s_axi_arburst; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK" *) input [1:0]s_axi_arlock; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE" *) input [3:0]s_axi_arcache; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARPROT" *) input [2:0]s_axi_arprot; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARQOS" *) input [3:0]s_axi_arqos; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARVALID" *) input s_axi_arvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI ARREADY" *) output s_axi_arready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RID" *) output [11:0]s_axi_rid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RDATA" *) output [31:0]s_axi_rdata; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RRESP" *) output [1:0]s_axi_rresp; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RLAST" *) output s_axi_rlast; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RVALID" *) output s_axi_rvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI RREADY" *) input s_axi_rready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWID" *) output [11:0]m_axi_awid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWADDR" *) output [31:0]m_axi_awaddr; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWLEN" *) output [7:0]m_axi_awlen; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWSIZE" *) output [2:0]m_axi_awsize; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWBURST" *) output [1:0]m_axi_awburst; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWLOCK" *) output [0:0]m_axi_awlock; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWCACHE" *) output [3:0]m_axi_awcache; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWPROT" *) output [2:0]m_axi_awprot; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWREGION" *) output [3:0]m_axi_awregion; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWQOS" *) output [3:0]m_axi_awqos; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWVALID" *) output m_axi_awvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI AWREADY" *) input m_axi_awready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WDATA" *) output [31:0]m_axi_wdata; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WSTRB" *) output [3:0]m_axi_wstrb; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WLAST" *) output m_axi_wlast; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WVALID" *) output m_axi_wvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI WREADY" *) input m_axi_wready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BID" *) input [11:0]m_axi_bid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BRESP" *) input [1:0]m_axi_bresp; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BVALID" *) input m_axi_bvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI BREADY" *) output m_axi_bready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARID" *) output [11:0]m_axi_arid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARADDR" *) output [31:0]m_axi_araddr; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARLEN" *) output [7:0]m_axi_arlen; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARSIZE" *) output [2:0]m_axi_arsize; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARBURST" *) output [1:0]m_axi_arburst; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARLOCK" *) output [0:0]m_axi_arlock; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARCACHE" *) output [3:0]m_axi_arcache; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARPROT" *) output [2:0]m_axi_arprot; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARREGION" *) output [3:0]m_axi_arregion; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARQOS" *) output [3:0]m_axi_arqos; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARVALID" *) output m_axi_arvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI ARREADY" *) input m_axi_arready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RID" *) input [11:0]m_axi_rid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RDATA" *) input [31:0]m_axi_rdata; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RRESP" *) input [1:0]m_axi_rresp; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RLAST" *) input m_axi_rlast; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RVALID" *) input m_axi_rvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M_AXI RREADY" *) output m_axi_rready; + + wire aclk; + wire aresetn; + wire [31:0]m_axi_araddr; + wire [1:0]m_axi_arburst; + wire [3:0]m_axi_arcache; + wire [11:0]m_axi_arid; + wire [7:0]m_axi_arlen; + wire [0:0]m_axi_arlock; + wire [2:0]m_axi_arprot; + wire [3:0]m_axi_arqos; + wire m_axi_arready; + wire [3:0]m_axi_arregion; + wire [2:0]m_axi_arsize; + wire m_axi_arvalid; + wire [31:0]m_axi_awaddr; + wire [1:0]m_axi_awburst; + wire [3:0]m_axi_awcache; + wire [11:0]m_axi_awid; + wire [7:0]m_axi_awlen; + wire [0:0]m_axi_awlock; + wire [2:0]m_axi_awprot; + wire [3:0]m_axi_awqos; + wire m_axi_awready; + wire [3:0]m_axi_awregion; + wire [2:0]m_axi_awsize; + wire m_axi_awvalid; + wire [11:0]m_axi_bid; + wire m_axi_bready; + wire [1:0]m_axi_bresp; + wire m_axi_bvalid; + wire [31:0]m_axi_rdata; + wire [11:0]m_axi_rid; + wire m_axi_rlast; + wire m_axi_rready; + wire [1:0]m_axi_rresp; + wire m_axi_rvalid; + wire [31:0]m_axi_wdata; + wire m_axi_wlast; + wire m_axi_wready; + wire [3:0]m_axi_wstrb; + wire m_axi_wvalid; + wire [31:0]s_axi_araddr; + wire [1:0]s_axi_arburst; + wire [3:0]s_axi_arcache; + wire [11:0]s_axi_arid; + wire [3:0]s_axi_arlen; + wire [1:0]s_axi_arlock; + wire [2:0]s_axi_arprot; + wire [3:0]s_axi_arqos; + wire s_axi_arready; + wire [2:0]s_axi_arsize; + wire s_axi_arvalid; + wire [31:0]s_axi_awaddr; + wire [1:0]s_axi_awburst; + wire [3:0]s_axi_awcache; + wire [11:0]s_axi_awid; + wire [3:0]s_axi_awlen; + wire [1:0]s_axi_awlock; + wire [2:0]s_axi_awprot; + wire [3:0]s_axi_awqos; + wire s_axi_awready; + wire [2:0]s_axi_awsize; + wire s_axi_awvalid; + wire [11:0]s_axi_bid; + wire s_axi_bready; + wire [1:0]s_axi_bresp; + wire s_axi_bvalid; + wire [31:0]s_axi_rdata; + wire [11:0]s_axi_rid; + wire s_axi_rlast; + wire s_axi_rready; + wire [1:0]s_axi_rresp; + wire s_axi_rvalid; + wire [31:0]s_axi_wdata; + wire [11:0]s_axi_wid; + wire s_axi_wlast; + wire s_axi_wready; + wire [3:0]s_axi_wstrb; + wire s_axi_wvalid; + wire [0:0]NLW_inst_m_axi_aruser_UNCONNECTED; + wire [0:0]NLW_inst_m_axi_awuser_UNCONNECTED; + wire [11:0]NLW_inst_m_axi_wid_UNCONNECTED; + wire [0:0]NLW_inst_m_axi_wuser_UNCONNECTED; + wire [0:0]NLW_inst_s_axi_buser_UNCONNECTED; + wire [0:0]NLW_inst_s_axi_ruser_UNCONNECTED; + + (* C_AXI_ADDR_WIDTH = "32" *) + (* C_AXI_ARUSER_WIDTH = "1" *) + (* C_AXI_AWUSER_WIDTH = "1" *) + (* C_AXI_BUSER_WIDTH = "1" *) + (* C_AXI_DATA_WIDTH = "32" *) + (* C_AXI_ID_WIDTH = "12" *) + (* C_AXI_RUSER_WIDTH = "1" *) + (* C_AXI_SUPPORTS_READ = "1" *) + (* C_AXI_SUPPORTS_USER_SIGNALS = "0" *) + (* C_AXI_SUPPORTS_WRITE = "1" *) + (* C_AXI_WUSER_WIDTH = "1" *) + (* C_FAMILY = "zynq" *) + (* C_IGNORE_ID = "0" *) + (* C_M_AXI_PROTOCOL = "0" *) + (* C_S_AXI_PROTOCOL = "1" *) + (* C_TRANSLATION_MODE = "2" *) + (* DowngradeIPIdentifiedWarnings = "yes" *) + (* P_AXI3 = "1" *) + (* P_AXI4 = "0" *) + (* P_AXILITE = "2" *) + (* P_AXILITE_SIZE = "3'b010" *) + (* P_CONVERSION = "2" *) + (* P_DECERR = "2'b11" *) + (* P_INCR = "2'b01" *) + (* P_PROTECTION = "1" *) + (* P_SLVERR = "2'b10" *) + system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter inst + (.aclk(aclk), + .aresetn(aresetn), + .m_axi_araddr(m_axi_araddr), + .m_axi_arburst(m_axi_arburst), + .m_axi_arcache(m_axi_arcache), + .m_axi_arid(m_axi_arid), + .m_axi_arlen(m_axi_arlen), + .m_axi_arlock(m_axi_arlock), + .m_axi_arprot(m_axi_arprot), + .m_axi_arqos(m_axi_arqos), + .m_axi_arready(m_axi_arready), + .m_axi_arregion(m_axi_arregion), + .m_axi_arsize(m_axi_arsize), + .m_axi_aruser(NLW_inst_m_axi_aruser_UNCONNECTED[0]), + .m_axi_arvalid(m_axi_arvalid), + .m_axi_awaddr(m_axi_awaddr), + .m_axi_awburst(m_axi_awburst), + .m_axi_awcache(m_axi_awcache), + .m_axi_awid(m_axi_awid), + .m_axi_awlen(m_axi_awlen), + .m_axi_awlock(m_axi_awlock), + .m_axi_awprot(m_axi_awprot), + .m_axi_awqos(m_axi_awqos), + .m_axi_awready(m_axi_awready), + .m_axi_awregion(m_axi_awregion), + .m_axi_awsize(m_axi_awsize), + .m_axi_awuser(NLW_inst_m_axi_awuser_UNCONNECTED[0]), + .m_axi_awvalid(m_axi_awvalid), + .m_axi_bid(m_axi_bid), + .m_axi_bready(m_axi_bready), + .m_axi_bresp(m_axi_bresp), + .m_axi_buser(1'b0), + .m_axi_bvalid(m_axi_bvalid), + .m_axi_rdata(m_axi_rdata), + .m_axi_rid(m_axi_rid), + .m_axi_rlast(m_axi_rlast), + .m_axi_rready(m_axi_rready), + .m_axi_rresp(m_axi_rresp), + .m_axi_ruser(1'b0), + .m_axi_rvalid(m_axi_rvalid), + .m_axi_wdata(m_axi_wdata), + .m_axi_wid(NLW_inst_m_axi_wid_UNCONNECTED[11:0]), + .m_axi_wlast(m_axi_wlast), + .m_axi_wready(m_axi_wready), + .m_axi_wstrb(m_axi_wstrb), + .m_axi_wuser(NLW_inst_m_axi_wuser_UNCONNECTED[0]), + .m_axi_wvalid(m_axi_wvalid), + .s_axi_araddr(s_axi_araddr), + .s_axi_arburst(s_axi_arburst), + .s_axi_arcache(s_axi_arcache), + .s_axi_arid(s_axi_arid), + .s_axi_arlen(s_axi_arlen), + .s_axi_arlock(s_axi_arlock), + .s_axi_arprot(s_axi_arprot), + .s_axi_arqos(s_axi_arqos), + .s_axi_arready(s_axi_arready), + .s_axi_arregion({1'b0,1'b0,1'b0,1'b0}), + .s_axi_arsize(s_axi_arsize), + .s_axi_aruser(1'b0), + .s_axi_arvalid(s_axi_arvalid), + .s_axi_awaddr(s_axi_awaddr), + .s_axi_awburst(s_axi_awburst), + .s_axi_awcache(s_axi_awcache), + .s_axi_awid(s_axi_awid), + .s_axi_awlen(s_axi_awlen), + .s_axi_awlock(s_axi_awlock), + .s_axi_awprot(s_axi_awprot), + .s_axi_awqos(s_axi_awqos), + .s_axi_awready(s_axi_awready), + .s_axi_awregion({1'b0,1'b0,1'b0,1'b0}), + .s_axi_awsize(s_axi_awsize), + .s_axi_awuser(1'b0), + .s_axi_awvalid(s_axi_awvalid), + .s_axi_bid(s_axi_bid), + .s_axi_bready(s_axi_bready), + .s_axi_bresp(s_axi_bresp), + .s_axi_buser(NLW_inst_s_axi_buser_UNCONNECTED[0]), + .s_axi_bvalid(s_axi_bvalid), + .s_axi_rdata(s_axi_rdata), + .s_axi_rid(s_axi_rid), + .s_axi_rlast(s_axi_rlast), + .s_axi_rready(s_axi_rready), + .s_axi_rresp(s_axi_rresp), + .s_axi_ruser(NLW_inst_s_axi_ruser_UNCONNECTED[0]), + .s_axi_rvalid(s_axi_rvalid), + .s_axi_wdata(s_axi_wdata), + .s_axi_wid(s_axi_wid), + .s_axi_wlast(s_axi_wlast), + .s_axi_wready(s_axi_wready), + .s_axi_wstrb(s_axi_wstrb), + .s_axi_wuser(1'b0), + .s_axi_wvalid(s_axi_wvalid)); +endmodule + +(* C_AXI_ADDR_WIDTH = "32" *) (* C_AXI_ARUSER_WIDTH = "1" *) (* C_AXI_AWUSER_WIDTH = "1" *) +(* C_AXI_BUSER_WIDTH = "1" *) (* C_AXI_DATA_WIDTH = "32" *) (* C_AXI_ID_WIDTH = "12" *) +(* C_AXI_RUSER_WIDTH = "1" *) (* C_AXI_SUPPORTS_READ = "1" *) (* C_AXI_SUPPORTS_USER_SIGNALS = "0" *) +(* C_AXI_SUPPORTS_WRITE = "1" *) (* C_AXI_WUSER_WIDTH = "1" *) (* C_FAMILY = "zynq" *) +(* C_IGNORE_ID = "0" *) (* C_M_AXI_PROTOCOL = "0" *) (* C_S_AXI_PROTOCOL = "1" *) +(* C_TRANSLATION_MODE = "2" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* ORIG_REF_NAME = "axi_protocol_converter_v2_1_9_axi_protocol_converter" *) +(* P_AXI3 = "1" *) (* P_AXI4 = "0" *) (* P_AXILITE = "2" *) +(* P_AXILITE_SIZE = "3'b010" *) (* P_CONVERSION = "2" *) (* P_DECERR = "2'b11" *) +(* P_INCR = "2'b01" *) (* P_PROTECTION = "1" *) (* P_SLVERR = "2'b10" *) +module system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter + (aclk, + aresetn, + s_axi_awid, + s_axi_awaddr, + s_axi_awlen, + s_axi_awsize, + s_axi_awburst, + s_axi_awlock, + s_axi_awcache, + s_axi_awprot, + s_axi_awregion, + s_axi_awqos, + s_axi_awuser, + s_axi_awvalid, + s_axi_awready, + s_axi_wid, + s_axi_wdata, + s_axi_wstrb, + s_axi_wlast, + s_axi_wuser, + s_axi_wvalid, + s_axi_wready, + s_axi_bid, + s_axi_bresp, + s_axi_buser, + s_axi_bvalid, + s_axi_bready, + s_axi_arid, + s_axi_araddr, + s_axi_arlen, + s_axi_arsize, + s_axi_arburst, + s_axi_arlock, + s_axi_arcache, + s_axi_arprot, + s_axi_arregion, + s_axi_arqos, + s_axi_aruser, + s_axi_arvalid, + s_axi_arready, + s_axi_rid, + s_axi_rdata, + s_axi_rresp, + s_axi_rlast, + s_axi_ruser, + s_axi_rvalid, + s_axi_rready, + m_axi_awid, + m_axi_awaddr, + m_axi_awlen, + m_axi_awsize, + m_axi_awburst, + m_axi_awlock, + m_axi_awcache, + m_axi_awprot, + m_axi_awregion, + m_axi_awqos, + m_axi_awuser, + m_axi_awvalid, + m_axi_awready, + m_axi_wid, + m_axi_wdata, + m_axi_wstrb, + m_axi_wlast, + m_axi_wuser, + m_axi_wvalid, + m_axi_wready, + m_axi_bid, + m_axi_bresp, + m_axi_buser, + m_axi_bvalid, + m_axi_bready, + m_axi_arid, + m_axi_araddr, + m_axi_arlen, + m_axi_arsize, + m_axi_arburst, + m_axi_arlock, + m_axi_arcache, + m_axi_arprot, + m_axi_arregion, + m_axi_arqos, + m_axi_aruser, + m_axi_arvalid, + m_axi_arready, + m_axi_rid, + m_axi_rdata, + m_axi_rresp, + m_axi_rlast, + m_axi_ruser, + m_axi_rvalid, + m_axi_rready); + input aclk; + input aresetn; + input [11:0]s_axi_awid; + input [31:0]s_axi_awaddr; + input [3:0]s_axi_awlen; + input [2:0]s_axi_awsize; + input [1:0]s_axi_awburst; + input [1:0]s_axi_awlock; + input [3:0]s_axi_awcache; + input [2:0]s_axi_awprot; + input [3:0]s_axi_awregion; + input [3:0]s_axi_awqos; + input [0:0]s_axi_awuser; + input s_axi_awvalid; + output s_axi_awready; + input [11:0]s_axi_wid; + input [31:0]s_axi_wdata; + input [3:0]s_axi_wstrb; + input s_axi_wlast; + input [0:0]s_axi_wuser; + input s_axi_wvalid; + output s_axi_wready; + output [11:0]s_axi_bid; + output [1:0]s_axi_bresp; + output [0:0]s_axi_buser; + output s_axi_bvalid; + input s_axi_bready; + input [11:0]s_axi_arid; + input [31:0]s_axi_araddr; + input [3:0]s_axi_arlen; + input [2:0]s_axi_arsize; + input [1:0]s_axi_arburst; + input [1:0]s_axi_arlock; + input [3:0]s_axi_arcache; + input [2:0]s_axi_arprot; + input [3:0]s_axi_arregion; + input [3:0]s_axi_arqos; + input [0:0]s_axi_aruser; + input s_axi_arvalid; + output s_axi_arready; + output [11:0]s_axi_rid; + output [31:0]s_axi_rdata; + output [1:0]s_axi_rresp; + output s_axi_rlast; + output [0:0]s_axi_ruser; + output s_axi_rvalid; + input s_axi_rready; + output [11:0]m_axi_awid; + output [31:0]m_axi_awaddr; + output [7:0]m_axi_awlen; + output [2:0]m_axi_awsize; + output [1:0]m_axi_awburst; + output [0:0]m_axi_awlock; + output [3:0]m_axi_awcache; + output [2:0]m_axi_awprot; + output [3:0]m_axi_awregion; + output [3:0]m_axi_awqos; + output [0:0]m_axi_awuser; + output m_axi_awvalid; + input m_axi_awready; + output [11:0]m_axi_wid; + output [31:0]m_axi_wdata; + output [3:0]m_axi_wstrb; + output m_axi_wlast; + output [0:0]m_axi_wuser; + output m_axi_wvalid; + input m_axi_wready; + input [11:0]m_axi_bid; + input [1:0]m_axi_bresp; + input [0:0]m_axi_buser; + input m_axi_bvalid; + output m_axi_bready; + output [11:0]m_axi_arid; + output [31:0]m_axi_araddr; + output [7:0]m_axi_arlen; + output [2:0]m_axi_arsize; + output [1:0]m_axi_arburst; + output [0:0]m_axi_arlock; + output [3:0]m_axi_arcache; + output [2:0]m_axi_arprot; + output [3:0]m_axi_arregion; + output [3:0]m_axi_arqos; + output [0:0]m_axi_aruser; + output m_axi_arvalid; + input m_axi_arready; + input [11:0]m_axi_rid; + input [31:0]m_axi_rdata; + input [1:0]m_axi_rresp; + input m_axi_rlast; + input [0:0]m_axi_ruser; + input m_axi_rvalid; + output m_axi_rready; + + wire \<const0> ; + wire m_axi_arready; + wire m_axi_awready; + wire [11:0]m_axi_bid; + wire [1:0]m_axi_bresp; + wire [0:0]m_axi_buser; + wire m_axi_bvalid; + wire [31:0]m_axi_rdata; + wire [11:0]m_axi_rid; + wire m_axi_rlast; + wire [1:0]m_axi_rresp; + wire [0:0]m_axi_ruser; + wire m_axi_rvalid; + wire m_axi_wready; + wire [31:0]s_axi_araddr; + wire [1:0]s_axi_arburst; + wire [3:0]s_axi_arcache; + wire [11:0]s_axi_arid; + wire [3:0]s_axi_arlen; + wire [1:0]s_axi_arlock; + wire [2:0]s_axi_arprot; + wire [3:0]s_axi_arqos; + wire [2:0]s_axi_arsize; + wire [0:0]s_axi_aruser; + wire s_axi_arvalid; + wire [31:0]s_axi_awaddr; + wire [1:0]s_axi_awburst; + wire [3:0]s_axi_awcache; + wire [11:0]s_axi_awid; + wire [3:0]s_axi_awlen; + wire [1:0]s_axi_awlock; + wire [2:0]s_axi_awprot; + wire [3:0]s_axi_awqos; + wire [2:0]s_axi_awsize; + wire [0:0]s_axi_awuser; + wire s_axi_awvalid; + wire s_axi_bready; + wire s_axi_rready; + wire [31:0]s_axi_wdata; + wire s_axi_wlast; + wire [3:0]s_axi_wstrb; + wire [0:0]s_axi_wuser; + wire s_axi_wvalid; + + assign m_axi_araddr[31:0] = s_axi_araddr; + assign m_axi_arburst[1:0] = s_axi_arburst; + assign m_axi_arcache[3:0] = s_axi_arcache; + assign m_axi_arid[11:0] = s_axi_arid; + assign m_axi_arlen[7] = \<const0> ; + assign m_axi_arlen[6] = \<const0> ; + assign m_axi_arlen[5] = \<const0> ; + assign m_axi_arlen[4] = \<const0> ; + assign m_axi_arlen[3:0] = s_axi_arlen; + assign m_axi_arlock[0] = s_axi_arlock[0]; + assign m_axi_arprot[2:0] = s_axi_arprot; + assign m_axi_arqos[3:0] = s_axi_arqos; + assign m_axi_arregion[3] = \<const0> ; + assign m_axi_arregion[2] = \<const0> ; + assign m_axi_arregion[1] = \<const0> ; + assign m_axi_arregion[0] = \<const0> ; + assign m_axi_arsize[2:0] = s_axi_arsize; + assign m_axi_aruser[0] = s_axi_aruser; + assign m_axi_arvalid = s_axi_arvalid; + assign m_axi_awaddr[31:0] = s_axi_awaddr; + assign m_axi_awburst[1:0] = s_axi_awburst; + assign m_axi_awcache[3:0] = s_axi_awcache; + assign m_axi_awid[11:0] = s_axi_awid; + assign m_axi_awlen[7] = \<const0> ; + assign m_axi_awlen[6] = \<const0> ; + assign m_axi_awlen[5] = \<const0> ; + assign m_axi_awlen[4] = \<const0> ; + assign m_axi_awlen[3:0] = s_axi_awlen; + assign m_axi_awlock[0] = s_axi_awlock[0]; + assign m_axi_awprot[2:0] = s_axi_awprot; + assign m_axi_awqos[3:0] = s_axi_awqos; + assign m_axi_awregion[3] = \<const0> ; + assign m_axi_awregion[2] = \<const0> ; + assign m_axi_awregion[1] = \<const0> ; + assign m_axi_awregion[0] = \<const0> ; + assign m_axi_awsize[2:0] = s_axi_awsize; + assign m_axi_awuser[0] = s_axi_awuser; + assign m_axi_awvalid = s_axi_awvalid; + assign m_axi_bready = s_axi_bready; + assign m_axi_rready = s_axi_rready; + assign m_axi_wdata[31:0] = s_axi_wdata; + assign m_axi_wid[11] = \<const0> ; + assign m_axi_wid[10] = \<const0> ; + assign m_axi_wid[9] = \<const0> ; + assign m_axi_wid[8] = \<const0> ; + assign m_axi_wid[7] = \<const0> ; + assign m_axi_wid[6] = \<const0> ; + assign m_axi_wid[5] = \<const0> ; + assign m_axi_wid[4] = \<const0> ; + assign m_axi_wid[3] = \<const0> ; + assign m_axi_wid[2] = \<const0> ; + assign m_axi_wid[1] = \<const0> ; + assign m_axi_wid[0] = \<const0> ; + assign m_axi_wlast = s_axi_wlast; + assign m_axi_wstrb[3:0] = s_axi_wstrb; + assign m_axi_wuser[0] = s_axi_wuser; + assign m_axi_wvalid = s_axi_wvalid; + assign s_axi_arready = m_axi_arready; + assign s_axi_awready = m_axi_awready; + assign s_axi_bid[11:0] = m_axi_bid; + assign s_axi_bresp[1:0] = m_axi_bresp; + assign s_axi_buser[0] = m_axi_buser; + assign s_axi_bvalid = m_axi_bvalid; + assign s_axi_rdata[31:0] = m_axi_rdata; + assign s_axi_rid[11:0] = m_axi_rid; + assign s_axi_rlast = m_axi_rlast; + assign s_axi_rresp[1:0] = m_axi_rresp; + assign s_axi_ruser[0] = m_axi_ruser; + assign s_axi_rvalid = m_axi_rvalid; + assign s_axi_wready = m_axi_wready; + GND GND + (.G(\<const0> )); +endmodule +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule +`endif diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.vhdl new file mode 100644 index 0000000000000000000000000000000000000000..d1ade2d401aecb89efd8380e404c3f4ff39ba917 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.vhdl @@ -0,0 +1,580 @@ +-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +-- Date : Mon Dec 18 11:26:55 2017 +-- Host : lapte24154 running 64-bit openSUSE Leap 42.2 +-- Command : write_vhdl -force -mode funcsim +-- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_sim_netlist.vhdl +-- Design : system_design_auto_pc_3 +-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or +-- synthesized. This netlist cannot be used for SDF annotated simulation. +-- Device : xc7z030ffg676-2 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter is + port ( + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awvalid : in STD_LOGIC; + s_axi_awready : out STD_LOGIC; + s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_wlast : in STD_LOGIC; + s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wvalid : in STD_LOGIC; + s_axi_wready : out STD_LOGIC; + s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bvalid : out STD_LOGIC; + s_axi_bready : in STD_LOGIC; + s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arvalid : in STD_LOGIC; + s_axi_arready : out STD_LOGIC; + s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_rlast : out STD_LOGIC; + s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rvalid : out STD_LOGIC; + s_axi_rready : in STD_LOGIC; + m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awvalid : out STD_LOGIC; + m_axi_awready : in STD_LOGIC; + m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_wlast : out STD_LOGIC; + m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_wvalid : out STD_LOGIC; + m_axi_wready : in STD_LOGIC; + m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_bvalid : in STD_LOGIC; + m_axi_bready : out STD_LOGIC; + m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_arvalid : out STD_LOGIC; + m_axi_arready : in STD_LOGIC; + m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rlast : in STD_LOGIC; + m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_rvalid : in STD_LOGIC; + m_axi_rready : out STD_LOGIC + ); + attribute C_AXI_ADDR_WIDTH : integer; + attribute C_AXI_ADDR_WIDTH of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 32; + attribute C_AXI_ARUSER_WIDTH : integer; + attribute C_AXI_ARUSER_WIDTH of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_AXI_AWUSER_WIDTH : integer; + attribute C_AXI_AWUSER_WIDTH of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_AXI_BUSER_WIDTH : integer; + attribute C_AXI_BUSER_WIDTH of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_AXI_DATA_WIDTH : integer; + attribute C_AXI_DATA_WIDTH of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 32; + attribute C_AXI_ID_WIDTH : integer; + attribute C_AXI_ID_WIDTH of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 12; + attribute C_AXI_RUSER_WIDTH : integer; + attribute C_AXI_RUSER_WIDTH of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_AXI_SUPPORTS_READ : integer; + attribute C_AXI_SUPPORTS_READ of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; + attribute C_AXI_SUPPORTS_USER_SIGNALS of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 0; + attribute C_AXI_SUPPORTS_WRITE : integer; + attribute C_AXI_SUPPORTS_WRITE of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_AXI_WUSER_WIDTH : integer; + attribute C_AXI_WUSER_WIDTH of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_FAMILY : string; + attribute C_FAMILY of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is "zynq"; + attribute C_IGNORE_ID : integer; + attribute C_IGNORE_ID of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 0; + attribute C_M_AXI_PROTOCOL : integer; + attribute C_M_AXI_PROTOCOL of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 0; + attribute C_S_AXI_PROTOCOL : integer; + attribute C_S_AXI_PROTOCOL of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute C_TRANSLATION_MODE : integer; + attribute C_TRANSLATION_MODE of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 2; + attribute DowngradeIPIdentifiedWarnings : string; + attribute DowngradeIPIdentifiedWarnings of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is "yes"; + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is "axi_protocol_converter_v2_1_9_axi_protocol_converter"; + attribute P_AXI3 : integer; + attribute P_AXI3 of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute P_AXI4 : integer; + attribute P_AXI4 of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 0; + attribute P_AXILITE : integer; + attribute P_AXILITE of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 2; + attribute P_AXILITE_SIZE : string; + attribute P_AXILITE_SIZE of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is "3'b010"; + attribute P_CONVERSION : integer; + attribute P_CONVERSION of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 2; + attribute P_DECERR : string; + attribute P_DECERR of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is "2'b11"; + attribute P_INCR : string; + attribute P_INCR of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is "2'b01"; + attribute P_PROTECTION : integer; + attribute P_PROTECTION of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is 1; + attribute P_SLVERR : string; + attribute P_SLVERR of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter : entity is "2'b10"; +end system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter; + +architecture STRUCTURE of system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter is + signal \<const0>\ : STD_LOGIC; + signal \^m_axi_arready\ : STD_LOGIC; + signal \^m_axi_awready\ : STD_LOGIC; + signal \^m_axi_bid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \^m_axi_bresp\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^m_axi_buser\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^m_axi_bvalid\ : STD_LOGIC; + signal \^m_axi_rdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \^m_axi_rid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \^m_axi_rlast\ : STD_LOGIC; + signal \^m_axi_rresp\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^m_axi_ruser\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^m_axi_rvalid\ : STD_LOGIC; + signal \^m_axi_wready\ : STD_LOGIC; + signal \^s_axi_araddr\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \^s_axi_arburst\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^s_axi_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \^s_axi_arid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \^s_axi_arlen\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \^s_axi_arlock\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^s_axi_arprot\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \^s_axi_arqos\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \^s_axi_arsize\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \^s_axi_aruser\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^s_axi_arvalid\ : STD_LOGIC; + signal \^s_axi_awaddr\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \^s_axi_awburst\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^s_axi_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \^s_axi_awid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \^s_axi_awlen\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \^s_axi_awlock\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^s_axi_awprot\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \^s_axi_awqos\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \^s_axi_awsize\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \^s_axi_awuser\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^s_axi_awvalid\ : STD_LOGIC; + signal \^s_axi_bready\ : STD_LOGIC; + signal \^s_axi_rready\ : STD_LOGIC; + signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \^s_axi_wlast\ : STD_LOGIC; + signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \^s_axi_wuser\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^s_axi_wvalid\ : STD_LOGIC; +begin + \^m_axi_arready\ <= m_axi_arready; + \^m_axi_awready\ <= m_axi_awready; + \^m_axi_bid\(11 downto 0) <= m_axi_bid(11 downto 0); + \^m_axi_bresp\(1 downto 0) <= m_axi_bresp(1 downto 0); + \^m_axi_buser\(0) <= m_axi_buser(0); + \^m_axi_bvalid\ <= m_axi_bvalid; + \^m_axi_rdata\(31 downto 0) <= m_axi_rdata(31 downto 0); + \^m_axi_rid\(11 downto 0) <= m_axi_rid(11 downto 0); + \^m_axi_rlast\ <= m_axi_rlast; + \^m_axi_rresp\(1 downto 0) <= m_axi_rresp(1 downto 0); + \^m_axi_ruser\(0) <= m_axi_ruser(0); + \^m_axi_rvalid\ <= m_axi_rvalid; + \^m_axi_wready\ <= m_axi_wready; + \^s_axi_araddr\(31 downto 0) <= s_axi_araddr(31 downto 0); + \^s_axi_arburst\(1 downto 0) <= s_axi_arburst(1 downto 0); + \^s_axi_arcache\(3 downto 0) <= s_axi_arcache(3 downto 0); + \^s_axi_arid\(11 downto 0) <= s_axi_arid(11 downto 0); + \^s_axi_arlen\(3 downto 0) <= s_axi_arlen(3 downto 0); + \^s_axi_arlock\(0) <= s_axi_arlock(0); + \^s_axi_arprot\(2 downto 0) <= s_axi_arprot(2 downto 0); + \^s_axi_arqos\(3 downto 0) <= s_axi_arqos(3 downto 0); + \^s_axi_arsize\(2 downto 0) <= s_axi_arsize(2 downto 0); + \^s_axi_aruser\(0) <= s_axi_aruser(0); + \^s_axi_arvalid\ <= s_axi_arvalid; + \^s_axi_awaddr\(31 downto 0) <= s_axi_awaddr(31 downto 0); + \^s_axi_awburst\(1 downto 0) <= s_axi_awburst(1 downto 0); + \^s_axi_awcache\(3 downto 0) <= s_axi_awcache(3 downto 0); + \^s_axi_awid\(11 downto 0) <= s_axi_awid(11 downto 0); + \^s_axi_awlen\(3 downto 0) <= s_axi_awlen(3 downto 0); + \^s_axi_awlock\(0) <= s_axi_awlock(0); + \^s_axi_awprot\(2 downto 0) <= s_axi_awprot(2 downto 0); + \^s_axi_awqos\(3 downto 0) <= s_axi_awqos(3 downto 0); + \^s_axi_awsize\(2 downto 0) <= s_axi_awsize(2 downto 0); + \^s_axi_awuser\(0) <= s_axi_awuser(0); + \^s_axi_awvalid\ <= s_axi_awvalid; + \^s_axi_bready\ <= s_axi_bready; + \^s_axi_rready\ <= s_axi_rready; + \^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0); + \^s_axi_wlast\ <= s_axi_wlast; + \^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0); + \^s_axi_wuser\(0) <= s_axi_wuser(0); + \^s_axi_wvalid\ <= s_axi_wvalid; + m_axi_araddr(31 downto 0) <= \^s_axi_araddr\(31 downto 0); + m_axi_arburst(1 downto 0) <= \^s_axi_arburst\(1 downto 0); + m_axi_arcache(3 downto 0) <= \^s_axi_arcache\(3 downto 0); + m_axi_arid(11 downto 0) <= \^s_axi_arid\(11 downto 0); + m_axi_arlen(7) <= \<const0>\; + m_axi_arlen(6) <= \<const0>\; + m_axi_arlen(5) <= \<const0>\; + m_axi_arlen(4) <= \<const0>\; + m_axi_arlen(3 downto 0) <= \^s_axi_arlen\(3 downto 0); + m_axi_arlock(0) <= \^s_axi_arlock\(0); + m_axi_arprot(2 downto 0) <= \^s_axi_arprot\(2 downto 0); + m_axi_arqos(3 downto 0) <= \^s_axi_arqos\(3 downto 0); + m_axi_arregion(3) <= \<const0>\; + m_axi_arregion(2) <= \<const0>\; + m_axi_arregion(1) <= \<const0>\; + m_axi_arregion(0) <= \<const0>\; + m_axi_arsize(2 downto 0) <= \^s_axi_arsize\(2 downto 0); + m_axi_aruser(0) <= \^s_axi_aruser\(0); + m_axi_arvalid <= \^s_axi_arvalid\; + m_axi_awaddr(31 downto 0) <= \^s_axi_awaddr\(31 downto 0); + m_axi_awburst(1 downto 0) <= \^s_axi_awburst\(1 downto 0); + m_axi_awcache(3 downto 0) <= \^s_axi_awcache\(3 downto 0); + m_axi_awid(11 downto 0) <= \^s_axi_awid\(11 downto 0); + m_axi_awlen(7) <= \<const0>\; + m_axi_awlen(6) <= \<const0>\; + m_axi_awlen(5) <= \<const0>\; + m_axi_awlen(4) <= \<const0>\; + m_axi_awlen(3 downto 0) <= \^s_axi_awlen\(3 downto 0); + m_axi_awlock(0) <= \^s_axi_awlock\(0); + m_axi_awprot(2 downto 0) <= \^s_axi_awprot\(2 downto 0); + m_axi_awqos(3 downto 0) <= \^s_axi_awqos\(3 downto 0); + m_axi_awregion(3) <= \<const0>\; + m_axi_awregion(2) <= \<const0>\; + m_axi_awregion(1) <= \<const0>\; + m_axi_awregion(0) <= \<const0>\; + m_axi_awsize(2 downto 0) <= \^s_axi_awsize\(2 downto 0); + m_axi_awuser(0) <= \^s_axi_awuser\(0); + m_axi_awvalid <= \^s_axi_awvalid\; + m_axi_bready <= \^s_axi_bready\; + m_axi_rready <= \^s_axi_rready\; + m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0); + m_axi_wid(11) <= \<const0>\; + m_axi_wid(10) <= \<const0>\; + m_axi_wid(9) <= \<const0>\; + m_axi_wid(8) <= \<const0>\; + m_axi_wid(7) <= \<const0>\; + m_axi_wid(6) <= \<const0>\; + m_axi_wid(5) <= \<const0>\; + m_axi_wid(4) <= \<const0>\; + m_axi_wid(3) <= \<const0>\; + m_axi_wid(2) <= \<const0>\; + m_axi_wid(1) <= \<const0>\; + m_axi_wid(0) <= \<const0>\; + m_axi_wlast <= \^s_axi_wlast\; + m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0); + m_axi_wuser(0) <= \^s_axi_wuser\(0); + m_axi_wvalid <= \^s_axi_wvalid\; + s_axi_arready <= \^m_axi_arready\; + s_axi_awready <= \^m_axi_awready\; + s_axi_bid(11 downto 0) <= \^m_axi_bid\(11 downto 0); + s_axi_bresp(1 downto 0) <= \^m_axi_bresp\(1 downto 0); + s_axi_buser(0) <= \^m_axi_buser\(0); + s_axi_bvalid <= \^m_axi_bvalid\; + s_axi_rdata(31 downto 0) <= \^m_axi_rdata\(31 downto 0); + s_axi_rid(11 downto 0) <= \^m_axi_rid\(11 downto 0); + s_axi_rlast <= \^m_axi_rlast\; + s_axi_rresp(1 downto 0) <= \^m_axi_rresp\(1 downto 0); + s_axi_ruser(0) <= \^m_axi_ruser\(0); + s_axi_rvalid <= \^m_axi_rvalid\; + s_axi_wready <= \^m_axi_wready\; +GND: unisim.vcomponents.GND + port map ( + G => \<const0>\ + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_auto_pc_3 is + port ( + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awvalid : in STD_LOGIC; + s_axi_awready : out STD_LOGIC; + s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_wlast : in STD_LOGIC; + s_axi_wvalid : in STD_LOGIC; + s_axi_wready : out STD_LOGIC; + s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_bvalid : out STD_LOGIC; + s_axi_bready : in STD_LOGIC; + s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arvalid : in STD_LOGIC; + s_axi_arready : out STD_LOGIC; + s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_rlast : out STD_LOGIC; + s_axi_rvalid : out STD_LOGIC; + s_axi_rready : in STD_LOGIC; + m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awvalid : out STD_LOGIC; + m_axi_awready : in STD_LOGIC; + m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_wlast : out STD_LOGIC; + m_axi_wvalid : out STD_LOGIC; + m_axi_wready : in STD_LOGIC; + m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bvalid : in STD_LOGIC; + m_axi_bready : out STD_LOGIC; + m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arvalid : out STD_LOGIC; + m_axi_arready : in STD_LOGIC; + m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rlast : in STD_LOGIC; + m_axi_rvalid : in STD_LOGIC; + m_axi_rready : out STD_LOGIC + ); + attribute NotValidForBitStream : boolean; + attribute NotValidForBitStream of system_design_auto_pc_3 : entity is true; + attribute CHECK_LICENSE_TYPE : string; + attribute CHECK_LICENSE_TYPE of system_design_auto_pc_3 : entity is "system_design_auto_pc_3,axi_protocol_converter_v2_1_9_axi_protocol_converter,{}"; + attribute DowngradeIPIdentifiedWarnings : string; + attribute DowngradeIPIdentifiedWarnings of system_design_auto_pc_3 : entity is "yes"; + attribute X_CORE_INFO : string; + attribute X_CORE_INFO of system_design_auto_pc_3 : entity is "axi_protocol_converter_v2_1_9_axi_protocol_converter,Vivado 2016.2"; +end system_design_auto_pc_3; + +architecture STRUCTURE of system_design_auto_pc_3 is + signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + attribute C_AXI_ADDR_WIDTH : integer; + attribute C_AXI_ADDR_WIDTH of inst : label is 32; + attribute C_AXI_ARUSER_WIDTH : integer; + attribute C_AXI_ARUSER_WIDTH of inst : label is 1; + attribute C_AXI_AWUSER_WIDTH : integer; + attribute C_AXI_AWUSER_WIDTH of inst : label is 1; + attribute C_AXI_BUSER_WIDTH : integer; + attribute C_AXI_BUSER_WIDTH of inst : label is 1; + attribute C_AXI_DATA_WIDTH : integer; + attribute C_AXI_DATA_WIDTH of inst : label is 32; + attribute C_AXI_ID_WIDTH : integer; + attribute C_AXI_ID_WIDTH of inst : label is 12; + attribute C_AXI_RUSER_WIDTH : integer; + attribute C_AXI_RUSER_WIDTH of inst : label is 1; + attribute C_AXI_SUPPORTS_READ : integer; + attribute C_AXI_SUPPORTS_READ of inst : label is 1; + attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; + attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; + attribute C_AXI_SUPPORTS_WRITE : integer; + attribute C_AXI_SUPPORTS_WRITE of inst : label is 1; + attribute C_AXI_WUSER_WIDTH : integer; + attribute C_AXI_WUSER_WIDTH of inst : label is 1; + attribute C_FAMILY : string; + attribute C_FAMILY of inst : label is "zynq"; + attribute C_IGNORE_ID : integer; + attribute C_IGNORE_ID of inst : label is 0; + attribute C_M_AXI_PROTOCOL : integer; + attribute C_M_AXI_PROTOCOL of inst : label is 0; + attribute C_S_AXI_PROTOCOL : integer; + attribute C_S_AXI_PROTOCOL of inst : label is 1; + attribute C_TRANSLATION_MODE : integer; + attribute C_TRANSLATION_MODE of inst : label is 2; + attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; + attribute P_AXI3 : integer; + attribute P_AXI3 of inst : label is 1; + attribute P_AXI4 : integer; + attribute P_AXI4 of inst : label is 0; + attribute P_AXILITE : integer; + attribute P_AXILITE of inst : label is 2; + attribute P_AXILITE_SIZE : string; + attribute P_AXILITE_SIZE of inst : label is "3'b010"; + attribute P_CONVERSION : integer; + attribute P_CONVERSION of inst : label is 2; + attribute P_DECERR : string; + attribute P_DECERR of inst : label is "2'b11"; + attribute P_INCR : string; + attribute P_INCR of inst : label is "2'b01"; + attribute P_PROTECTION : integer; + attribute P_PROTECTION of inst : label is 1; + attribute P_SLVERR : string; + attribute P_SLVERR of inst : label is "2'b10"; +begin +inst: entity work.system_design_auto_pc_3_axi_protocol_converter_v2_1_9_axi_protocol_converter + port map ( + aclk => aclk, + aresetn => aresetn, + m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), + m_axi_arburst(1 downto 0) => m_axi_arburst(1 downto 0), + m_axi_arcache(3 downto 0) => m_axi_arcache(3 downto 0), + m_axi_arid(11 downto 0) => m_axi_arid(11 downto 0), + m_axi_arlen(7 downto 0) => m_axi_arlen(7 downto 0), + m_axi_arlock(0) => m_axi_arlock(0), + m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), + m_axi_arqos(3 downto 0) => m_axi_arqos(3 downto 0), + m_axi_arready => m_axi_arready, + m_axi_arregion(3 downto 0) => m_axi_arregion(3 downto 0), + m_axi_arsize(2 downto 0) => m_axi_arsize(2 downto 0), + m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0), + m_axi_arvalid => m_axi_arvalid, + m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), + m_axi_awburst(1 downto 0) => m_axi_awburst(1 downto 0), + m_axi_awcache(3 downto 0) => m_axi_awcache(3 downto 0), + m_axi_awid(11 downto 0) => m_axi_awid(11 downto 0), + m_axi_awlen(7 downto 0) => m_axi_awlen(7 downto 0), + m_axi_awlock(0) => m_axi_awlock(0), + m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), + m_axi_awqos(3 downto 0) => m_axi_awqos(3 downto 0), + m_axi_awready => m_axi_awready, + m_axi_awregion(3 downto 0) => m_axi_awregion(3 downto 0), + m_axi_awsize(2 downto 0) => m_axi_awsize(2 downto 0), + m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0), + m_axi_awvalid => m_axi_awvalid, + m_axi_bid(11 downto 0) => m_axi_bid(11 downto 0), + m_axi_bready => m_axi_bready, + m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), + m_axi_buser(0) => '0', + m_axi_bvalid => m_axi_bvalid, + m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), + m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), + m_axi_rlast => m_axi_rlast, + m_axi_rready => m_axi_rready, + m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), + m_axi_ruser(0) => '0', + m_axi_rvalid => m_axi_rvalid, + m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), + m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0), + m_axi_wlast => m_axi_wlast, + m_axi_wready => m_axi_wready, + m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), + m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0), + m_axi_wvalid => m_axi_wvalid, + s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), + s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), + s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), + s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), + s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), + s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0), + s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), + s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), + s_axi_arready => s_axi_arready, + s_axi_arregion(3 downto 0) => B"0000", + s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), + s_axi_aruser(0) => '0', + s_axi_arvalid => s_axi_arvalid, + s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), + s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), + s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), + s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), + s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), + s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0), + s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), + s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), + s_axi_awready => s_axi_awready, + s_axi_awregion(3 downto 0) => B"0000", + s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), + s_axi_awuser(0) => '0', + s_axi_awvalid => s_axi_awvalid, + s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), + s_axi_bready => s_axi_bready, + s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), + s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), + s_axi_bvalid => s_axi_bvalid, + s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), + s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), + s_axi_rlast => s_axi_rlast, + s_axi_rready => s_axi_rready, + s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), + s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), + s_axi_rvalid => s_axi_rvalid, + s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), + s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0), + s_axi_wlast => s_axi_wlast, + s_axi_wready => s_axi_wready, + s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), + s_axi_wuser(0) => '0', + s_axi_wvalid => s_axi_wvalid + ); +end STRUCTURE; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.v new file mode 100644 index 0000000000000000000000000000000000000000..2cde4439b176e81d36f564620616eec0b318c285 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.v @@ -0,0 +1,98 @@ +// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +// Date : Mon Dec 18 11:26:55 2017 +// Host : lapte24154 running 64-bit openSUSE Leap 42.2 +// Command : write_verilog -force -mode synth_stub +// /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.v +// Design : system_design_auto_pc_3 +// Purpose : Stub declaration of top-level module interface +// Device : xc7z030ffg676-2 +// -------------------------------------------------------------------------------- + +// This empty module with port declaration file causes synthesis tools to infer a black box for IP. +// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. +// Please paste the declaration into a Verilog source file or add the file as an additional source. +(* X_CORE_INFO = "axi_protocol_converter_v2_1_9_axi_protocol_converter,Vivado 2016.2" *) +module system_design_auto_pc_3(aclk, aresetn, s_axi_awid, s_axi_awaddr, s_axi_awlen, s_axi_awsize, s_axi_awburst, s_axi_awlock, s_axi_awcache, s_axi_awprot, s_axi_awqos, s_axi_awvalid, s_axi_awready, s_axi_wid, s_axi_wdata, s_axi_wstrb, s_axi_wlast, s_axi_wvalid, s_axi_wready, s_axi_bid, s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_arid, s_axi_araddr, s_axi_arlen, s_axi_arsize, s_axi_arburst, s_axi_arlock, s_axi_arcache, s_axi_arprot, s_axi_arqos, s_axi_arvalid, s_axi_arready, s_axi_rid, s_axi_rdata, s_axi_rresp, s_axi_rlast, s_axi_rvalid, s_axi_rready, m_axi_awid, m_axi_awaddr, m_axi_awlen, m_axi_awsize, m_axi_awburst, m_axi_awlock, m_axi_awcache, m_axi_awprot, m_axi_awregion, m_axi_awqos, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wlast, m_axi_wvalid, m_axi_wready, m_axi_bid, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_arid, m_axi_araddr, m_axi_arlen, m_axi_arsize, m_axi_arburst, m_axi_arlock, m_axi_arcache, m_axi_arprot, m_axi_arregion, m_axi_arqos, m_axi_arvalid, m_axi_arready, m_axi_rid, m_axi_rdata, m_axi_rresp, m_axi_rlast, m_axi_rvalid, m_axi_rready) +/* synthesis syn_black_box black_box_pad_pin="aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[3:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[1:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awqos[3:0],s_axi_awvalid,s_axi_awready,s_axi_wid[11:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast,s_axi_wvalid,s_axi_wready,s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[3:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[1:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arqos[3:0],s_axi_arvalid,s_axi_arready,s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast,s_axi_rvalid,s_axi_rready,m_axi_awid[11:0],m_axi_awaddr[31:0],m_axi_awlen[7:0],m_axi_awsize[2:0],m_axi_awburst[1:0],m_axi_awlock[0:0],m_axi_awcache[3:0],m_axi_awprot[2:0],m_axi_awregion[3:0],m_axi_awqos[3:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wlast,m_axi_wvalid,m_axi_wready,m_axi_bid[11:0],m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_arid[11:0],m_axi_araddr[31:0],m_axi_arlen[7:0],m_axi_arsize[2:0],m_axi_arburst[1:0],m_axi_arlock[0:0],m_axi_arcache[3:0],m_axi_arprot[2:0],m_axi_arregion[3:0],m_axi_arqos[3:0],m_axi_arvalid,m_axi_arready,m_axi_rid[11:0],m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rlast,m_axi_rvalid,m_axi_rready" */; + input aclk; + input aresetn; + input [11:0]s_axi_awid; + input [31:0]s_axi_awaddr; + input [3:0]s_axi_awlen; + input [2:0]s_axi_awsize; + input [1:0]s_axi_awburst; + input [1:0]s_axi_awlock; + input [3:0]s_axi_awcache; + input [2:0]s_axi_awprot; + input [3:0]s_axi_awqos; + input s_axi_awvalid; + output s_axi_awready; + input [11:0]s_axi_wid; + input [31:0]s_axi_wdata; + input [3:0]s_axi_wstrb; + input s_axi_wlast; + input s_axi_wvalid; + output s_axi_wready; + output [11:0]s_axi_bid; + output [1:0]s_axi_bresp; + output s_axi_bvalid; + input s_axi_bready; + input [11:0]s_axi_arid; + input [31:0]s_axi_araddr; + input [3:0]s_axi_arlen; + input [2:0]s_axi_arsize; + input [1:0]s_axi_arburst; + input [1:0]s_axi_arlock; + input [3:0]s_axi_arcache; + input [2:0]s_axi_arprot; + input [3:0]s_axi_arqos; + input s_axi_arvalid; + output s_axi_arready; + output [11:0]s_axi_rid; + output [31:0]s_axi_rdata; + output [1:0]s_axi_rresp; + output s_axi_rlast; + output s_axi_rvalid; + input s_axi_rready; + output [11:0]m_axi_awid; + output [31:0]m_axi_awaddr; + output [7:0]m_axi_awlen; + output [2:0]m_axi_awsize; + output [1:0]m_axi_awburst; + output [0:0]m_axi_awlock; + output [3:0]m_axi_awcache; + output [2:0]m_axi_awprot; + output [3:0]m_axi_awregion; + output [3:0]m_axi_awqos; + output m_axi_awvalid; + input m_axi_awready; + output [31:0]m_axi_wdata; + output [3:0]m_axi_wstrb; + output m_axi_wlast; + output m_axi_wvalid; + input m_axi_wready; + input [11:0]m_axi_bid; + input [1:0]m_axi_bresp; + input m_axi_bvalid; + output m_axi_bready; + output [11:0]m_axi_arid; + output [31:0]m_axi_araddr; + output [7:0]m_axi_arlen; + output [2:0]m_axi_arsize; + output [1:0]m_axi_arburst; + output [0:0]m_axi_arlock; + output [3:0]m_axi_arcache; + output [2:0]m_axi_arprot; + output [3:0]m_axi_arregion; + output [3:0]m_axi_arqos; + output m_axi_arvalid; + input m_axi_arready; + input [11:0]m_axi_rid; + input [31:0]m_axi_rdata; + input [1:0]m_axi_rresp; + input m_axi_rlast; + input m_axi_rvalid; + output m_axi_rready; +endmodule diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.vhdl new file mode 100644 index 0000000000000000000000000000000000000000..ba30de080b1f74d7dc6d26a2b21acd968ddbb357 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.vhdl @@ -0,0 +1,108 @@ +-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +-- Date : Mon Dec 18 11:26:55 2017 +-- Host : lapte24154 running 64-bit openSUSE Leap 42.2 +-- Command : write_vhdl -force -mode synth_stub +-- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_3/system_design_auto_pc_3_stub.vhdl +-- Design : system_design_auto_pc_3 +-- Purpose : Stub declaration of top-level module interface +-- Device : xc7z030ffg676-2 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity system_design_auto_pc_3 is + Port ( + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awvalid : in STD_LOGIC; + s_axi_awready : out STD_LOGIC; + s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_wlast : in STD_LOGIC; + s_axi_wvalid : in STD_LOGIC; + s_axi_wready : out STD_LOGIC; + s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_bvalid : out STD_LOGIC; + s_axi_bready : in STD_LOGIC; + s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arvalid : in STD_LOGIC; + s_axi_arready : out STD_LOGIC; + s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_rlast : out STD_LOGIC; + s_axi_rvalid : out STD_LOGIC; + s_axi_rready : in STD_LOGIC; + m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awvalid : out STD_LOGIC; + m_axi_awready : in STD_LOGIC; + m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_wlast : out STD_LOGIC; + m_axi_wvalid : out STD_LOGIC; + m_axi_wready : in STD_LOGIC; + m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bvalid : in STD_LOGIC; + m_axi_bready : out STD_LOGIC; + m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); + m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arvalid : out STD_LOGIC; + m_axi_arready : in STD_LOGIC; + m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rlast : in STD_LOGIC; + m_axi_rvalid : in STD_LOGIC; + m_axi_rready : out STD_LOGIC + ); + +end system_design_auto_pc_3; + +architecture stub of system_design_auto_pc_3 is +attribute syn_black_box : boolean; +attribute black_box_pad_pin : string; +attribute syn_black_box of stub : architecture is true; +attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[3:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[1:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awqos[3:0],s_axi_awvalid,s_axi_awready,s_axi_wid[11:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast,s_axi_wvalid,s_axi_wready,s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[3:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[1:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arqos[3:0],s_axi_arvalid,s_axi_arready,s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast,s_axi_rvalid,s_axi_rready,m_axi_awid[11:0],m_axi_awaddr[31:0],m_axi_awlen[7:0],m_axi_awsize[2:0],m_axi_awburst[1:0],m_axi_awlock[0:0],m_axi_awcache[3:0],m_axi_awprot[2:0],m_axi_awregion[3:0],m_axi_awqos[3:0],m_axi_awvalid,m_axi_awready,m_axi_wdata[31:0],m_axi_wstrb[3:0],m_axi_wlast,m_axi_wvalid,m_axi_wready,m_axi_bid[11:0],m_axi_bresp[1:0],m_axi_bvalid,m_axi_bready,m_axi_arid[11:0],m_axi_araddr[31:0],m_axi_arlen[7:0],m_axi_arsize[2:0],m_axi_arburst[1:0],m_axi_arlock[0:0],m_axi_arcache[3:0],m_axi_arprot[2:0],m_axi_arregion[3:0],m_axi_arqos[3:0],m_axi_arvalid,m_axi_arready,m_axi_rid[11:0],m_axi_rdata[31:0],m_axi_rresp[1:0],m_axi_rlast,m_axi_rvalid,m_axi_rready"; +attribute X_CORE_INFO : string; +attribute X_CORE_INFO of stub : architecture is "axi_protocol_converter_v2_1_9_axi_protocol_converter,Vivado 2016.2"; +begin +end; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xci index b4db82ff6efefaf9d2f9450f4f789753b0383b86..c3a1a383933a025af35eed120781bbda9d272c62 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xci +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xci @@ -268,7 +268,7 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M63_HAS_REGSLICE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M63_ISSUANCE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M63_SECURE">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_MI">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_MI">2</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_SI">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCHK_MAX_RD_BURSTS">2</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCHK_MAX_WR_BURSTS">2</spirit:configurableElementValue> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xml index b8e9cd43010994be043ae0ce3d4af36fb90d91c4..14af4e4d37e4ecb51fcef44b7bd8c902443bc8ee 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xml @@ -58,7 +58,7 @@ <spirit:parameter> <spirit:name>NUM_MI</spirit:name> <spirit:displayName>Number of Master Interfaces</spirit:displayName> - <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.NUM_MI" spirit:order="3" spirit:minimum="1" spirit:maximum="64" spirit:rangeType="long">1</spirit:value> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.NUM_MI" spirit:order="3" spirit:minimum="1" spirit:maximum="64" spirit:rangeType="long">2</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>STRATEGY</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/sim/system_design_axi_wb_i2c_master_1_0.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/sim/system_design_axi_wb_i2c_master_1_0.vhd new file mode 100644 index 0000000000000000000000000000000000000000..a87d0835539ade5a1a19769f408f30b7f76dcaaa --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/sim/system_design_axi_wb_i2c_master_1_0.vhd @@ -0,0 +1,195 @@ +-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +-- DO NOT MODIFY THIS FILE. + +-- IP VLNV: cern.ch:ip:axi_wb_i2c_master:3.2.0 +-- IP Revision: 8 + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.numeric_std.ALL; + +LIBRARY hdl_lib; +USE hdl_lib.axi_wb_i2c_master; + +ENTITY system_design_axi_wb_i2c_master_1_0 IS + PORT ( + i2c_scl_i : IN STD_LOGIC; + i2c_scl_o : OUT STD_LOGIC; + i2c_scl_t : OUT STD_LOGIC; + i2c_sda_i : IN STD_LOGIC; + i2c_sda_o : OUT STD_LOGIC; + i2c_sda_t : OUT STD_LOGIC; + axi_int_o : OUT STD_LOGIC; + s00_axi_aclk : IN STD_LOGIC; + s00_axi_aresetn : IN STD_LOGIC; + s00_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + s00_axi_awvalid : IN STD_LOGIC; + s00_axi_awready : OUT STD_LOGIC; + s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + s00_axi_wvalid : IN STD_LOGIC; + s00_axi_wready : OUT STD_LOGIC; + s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + s00_axi_bvalid : OUT STD_LOGIC; + s00_axi_bready : IN STD_LOGIC; + s00_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + s00_axi_arvalid : IN STD_LOGIC; + s00_axi_arready : OUT STD_LOGIC; + s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + s00_axi_rvalid : OUT STD_LOGIC; + s00_axi_rready : IN STD_LOGIC + ); +END system_design_axi_wb_i2c_master_1_0; + +ARCHITECTURE system_design_axi_wb_i2c_master_1_0_arch OF system_design_axi_wb_i2c_master_1_0 IS + ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; + ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_design_axi_wb_i2c_master_1_0_arch: ARCHITECTURE IS "yes"; + COMPONENT axi_wb_i2c_master IS + GENERIC ( + C_S00_AXI_DATA_WIDTH : INTEGER; + C_S00_AXI_ADDR_WIDTH : INTEGER + ); + PORT ( + i2c_scl_i : IN STD_LOGIC; + i2c_scl_o : OUT STD_LOGIC; + i2c_scl_t : OUT STD_LOGIC; + i2c_sda_i : IN STD_LOGIC; + i2c_sda_o : OUT STD_LOGIC; + i2c_sda_t : OUT STD_LOGIC; + axi_int_o : OUT STD_LOGIC; + s00_axi_aclk : IN STD_LOGIC; + s00_axi_aresetn : IN STD_LOGIC; + s00_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + s00_axi_awvalid : IN STD_LOGIC; + s00_axi_awready : OUT STD_LOGIC; + s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + s00_axi_wvalid : IN STD_LOGIC; + s00_axi_wready : OUT STD_LOGIC; + s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + s00_axi_bvalid : OUT STD_LOGIC; + s00_axi_bready : IN STD_LOGIC; + s00_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + s00_axi_arvalid : IN STD_LOGIC; + s00_axi_arready : OUT STD_LOGIC; + s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + s00_axi_rvalid : OUT STD_LOGIC; + s00_axi_rready : IN STD_LOGIC + ); + END COMPONENT axi_wb_i2c_master; + ATTRIBUTE X_INTERFACE_INFO : STRING; + ATTRIBUTE X_INTERFACE_INFO OF i2c_scl_i: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SCL_I"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_scl_o: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SCL_O"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_scl_t: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SCL_T"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_sda_i: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SDA_I"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_sda_o: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SDA_O"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_sda_t: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SDA_T"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 s00_axi_aclk CLK"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 s00_axi_aresetn RST"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWADDR"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWPROT"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWREADY"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WDATA"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WSTRB"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WREADY"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BRESP"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BREADY"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARADDR"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARPROT"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARREADY"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RDATA"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RRESP"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RREADY"; +BEGIN + U0 : axi_wb_i2c_master + GENERIC MAP ( + C_S00_AXI_DATA_WIDTH => 32, + C_S00_AXI_ADDR_WIDTH => 32 + ) + PORT MAP ( + i2c_scl_i => i2c_scl_i, + i2c_scl_o => i2c_scl_o, + i2c_scl_t => i2c_scl_t, + i2c_sda_i => i2c_sda_i, + i2c_sda_o => i2c_sda_o, + i2c_sda_t => i2c_sda_t, + axi_int_o => axi_int_o, + s00_axi_aclk => s00_axi_aclk, + s00_axi_aresetn => s00_axi_aresetn, + s00_axi_awaddr => s00_axi_awaddr, + s00_axi_awprot => s00_axi_awprot, + s00_axi_awvalid => s00_axi_awvalid, + s00_axi_awready => s00_axi_awready, + s00_axi_wdata => s00_axi_wdata, + s00_axi_wstrb => s00_axi_wstrb, + s00_axi_wvalid => s00_axi_wvalid, + s00_axi_wready => s00_axi_wready, + s00_axi_bresp => s00_axi_bresp, + s00_axi_bvalid => s00_axi_bvalid, + s00_axi_bready => s00_axi_bready, + s00_axi_araddr => s00_axi_araddr, + s00_axi_arprot => s00_axi_arprot, + s00_axi_arvalid => s00_axi_arvalid, + s00_axi_arready => s00_axi_arready, + s00_axi_rdata => s00_axi_rdata, + s00_axi_rresp => s00_axi_rresp, + s00_axi_rvalid => s00_axi_rvalid, + s00_axi_rready => s00_axi_rready + ); +END system_design_axi_wb_i2c_master_1_0_arch; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/syn/ip_constraints_timing.xdc b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/syn/ip_constraints_timing.xdc new file mode 100755 index 0000000000000000000000000000000000000000..ed65d9e8caf60084b838f7c7cfa880d0fc4c364f --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/syn/ip_constraints_timing.xdc @@ -0,0 +1 @@ +create_clock -period 10.000 -name axi_aclk -waveform {0.000 5.000} [get_ports s00_axi_aclk] diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/synth/system_design_axi_wb_i2c_master_1_0.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/synth/system_design_axi_wb_i2c_master_1_0.vhd new file mode 100644 index 0000000000000000000000000000000000000000..ed6c034783ac60855812cebcedbc2aab8b373c76 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/synth/system_design_axi_wb_i2c_master_1_0.vhd @@ -0,0 +1,199 @@ +-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +-- DO NOT MODIFY THIS FILE. + +-- IP VLNV: cern.ch:ip:axi_wb_i2c_master:3.2.0 +-- IP Revision: 8 + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +USE ieee.numeric_std.ALL; + +LIBRARY hdl_lib; +USE hdl_lib.axi_wb_i2c_master; + +ENTITY system_design_axi_wb_i2c_master_1_0 IS + PORT ( + i2c_scl_i : IN STD_LOGIC; + i2c_scl_o : OUT STD_LOGIC; + i2c_scl_t : OUT STD_LOGIC; + i2c_sda_i : IN STD_LOGIC; + i2c_sda_o : OUT STD_LOGIC; + i2c_sda_t : OUT STD_LOGIC; + axi_int_o : OUT STD_LOGIC; + s00_axi_aclk : IN STD_LOGIC; + s00_axi_aresetn : IN STD_LOGIC; + s00_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + s00_axi_awvalid : IN STD_LOGIC; + s00_axi_awready : OUT STD_LOGIC; + s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + s00_axi_wvalid : IN STD_LOGIC; + s00_axi_wready : OUT STD_LOGIC; + s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + s00_axi_bvalid : OUT STD_LOGIC; + s00_axi_bready : IN STD_LOGIC; + s00_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + s00_axi_arvalid : IN STD_LOGIC; + s00_axi_arready : OUT STD_LOGIC; + s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + s00_axi_rvalid : OUT STD_LOGIC; + s00_axi_rready : IN STD_LOGIC + ); +END system_design_axi_wb_i2c_master_1_0; + +ARCHITECTURE system_design_axi_wb_i2c_master_1_0_arch OF system_design_axi_wb_i2c_master_1_0 IS + ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; + ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_design_axi_wb_i2c_master_1_0_arch: ARCHITECTURE IS "yes"; + COMPONENT axi_wb_i2c_master IS + GENERIC ( + C_S00_AXI_DATA_WIDTH : INTEGER; + C_S00_AXI_ADDR_WIDTH : INTEGER + ); + PORT ( + i2c_scl_i : IN STD_LOGIC; + i2c_scl_o : OUT STD_LOGIC; + i2c_scl_t : OUT STD_LOGIC; + i2c_sda_i : IN STD_LOGIC; + i2c_sda_o : OUT STD_LOGIC; + i2c_sda_t : OUT STD_LOGIC; + axi_int_o : OUT STD_LOGIC; + s00_axi_aclk : IN STD_LOGIC; + s00_axi_aresetn : IN STD_LOGIC; + s00_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + s00_axi_awvalid : IN STD_LOGIC; + s00_axi_awready : OUT STD_LOGIC; + s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + s00_axi_wvalid : IN STD_LOGIC; + s00_axi_wready : OUT STD_LOGIC; + s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + s00_axi_bvalid : OUT STD_LOGIC; + s00_axi_bready : IN STD_LOGIC; + s00_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + s00_axi_arvalid : IN STD_LOGIC; + s00_axi_arready : OUT STD_LOGIC; + s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); + s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + s00_axi_rvalid : OUT STD_LOGIC; + s00_axi_rready : IN STD_LOGIC + ); + END COMPONENT axi_wb_i2c_master; + ATTRIBUTE X_CORE_INFO : STRING; + ATTRIBUTE X_CORE_INFO OF system_design_axi_wb_i2c_master_1_0_arch: ARCHITECTURE IS "axi_wb_i2c_master,Vivado 2016.2"; + ATTRIBUTE CHECK_LICENSE_TYPE : STRING; + ATTRIBUTE CHECK_LICENSE_TYPE OF system_design_axi_wb_i2c_master_1_0_arch : ARCHITECTURE IS "system_design_axi_wb_i2c_master_1_0,axi_wb_i2c_master,{}"; + ATTRIBUTE X_INTERFACE_INFO : STRING; + ATTRIBUTE X_INTERFACE_INFO OF i2c_scl_i: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SCL_I"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_scl_o: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SCL_O"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_scl_t: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SCL_T"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_sda_i: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SDA_I"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_sda_o: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SDA_O"; + ATTRIBUTE X_INTERFACE_INFO OF i2c_sda_t: SIGNAL IS "xilinx.com:interface:iic:1.0 i2c_master SDA_T"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 s00_axi_aclk CLK"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 s00_axi_aresetn RST"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWADDR"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWPROT"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWREADY"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WDATA"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WSTRB"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WREADY"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BRESP"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BREADY"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARADDR"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARPROT"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARREADY"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RDATA"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RRESP"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RVALID"; + ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RREADY"; +BEGIN + U0 : axi_wb_i2c_master + GENERIC MAP ( + C_S00_AXI_DATA_WIDTH => 32, + C_S00_AXI_ADDR_WIDTH => 32 + ) + PORT MAP ( + i2c_scl_i => i2c_scl_i, + i2c_scl_o => i2c_scl_o, + i2c_scl_t => i2c_scl_t, + i2c_sda_i => i2c_sda_i, + i2c_sda_o => i2c_sda_o, + i2c_sda_t => i2c_sda_t, + axi_int_o => axi_int_o, + s00_axi_aclk => s00_axi_aclk, + s00_axi_aresetn => s00_axi_aresetn, + s00_axi_awaddr => s00_axi_awaddr, + s00_axi_awprot => s00_axi_awprot, + s00_axi_awvalid => s00_axi_awvalid, + s00_axi_awready => s00_axi_awready, + s00_axi_wdata => s00_axi_wdata, + s00_axi_wstrb => s00_axi_wstrb, + s00_axi_wvalid => s00_axi_wvalid, + s00_axi_wready => s00_axi_wready, + s00_axi_bresp => s00_axi_bresp, + s00_axi_bvalid => s00_axi_bvalid, + s00_axi_bready => s00_axi_bready, + s00_axi_araddr => s00_axi_araddr, + s00_axi_arprot => s00_axi_arprot, + s00_axi_arvalid => s00_axi_arvalid, + s00_axi_arready => s00_axi_arready, + s00_axi_rdata => s00_axi_rdata, + s00_axi_rresp => s00_axi_rresp, + s00_axi_rvalid => s00_axi_rvalid, + s00_axi_rready => s00_axi_rready + ); +END system_design_axi_wb_i2c_master_1_0_arch; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.dcp new file mode 100644 index 0000000000000000000000000000000000000000..e11d020252edf7486db98e9c14676157968ef93a Binary files /dev/null and b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.dcp differ diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xci new file mode 100644 index 0000000000000000000000000000000000000000..cd22dee3aa351110f08cd808182427ae61ffb738 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xci @@ -0,0 +1,101 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>xci</spirit:library> + <spirit:name>unknown</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:componentInstances> + <spirit:componentInstance> + <spirit:instanceName>system_design_axi_wb_i2c_master_1_0</spirit:instanceName> + <spirit:componentRef spirit:vendor="cern.ch" spirit:library="ip" spirit:name="axi_wb_i2c_master" spirit:version="3.2.0"/> + <spirit:configurableElementValues> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.BUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.CLK_DOMAIN">system_design_processing_system7_0_0_FCLK_CLK0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BURST">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_CACHE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_LOCK">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_PROT">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_QOS">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_REGION">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_RRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_WSTRB">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ID_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.PROTOCOL">AXI4LITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.RUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.WUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI_ACLK.CLK_DOMAIN">system_design_processing_system7_0_0_FCLK_CLK0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI_ACLK.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI_ACLK.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_S00_AXI_ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_S00_AXI_DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">system_design_axi_wb_i2c_master_1_0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z030</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg676</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VHDL</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">C</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Integrator</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">../../ipshared</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2016.2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue> + </spirit:configurableElementValues> + <spirit:vendorExtensions> + <xilinx:componentInstanceExtensions> + <xilinx:configElementInfos> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.AWUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.BUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.DATA_WIDTH" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.FREQ_HZ" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BURST" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_CACHE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_LOCK" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_QOS" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_REGION" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_RRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ID_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.MAX_BURST_LENGTH" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.PROTOCOL" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.READ_WRITE_MODE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.RUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.WUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI_ACLK.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI_ACLK.FREQ_HZ" xilinx:valueSource="user_prop"/> + </xilinx:configElementInfos> + </xilinx:componentInstanceExtensions> + </spirit:vendorExtensions> + </spirit:componentInstance> + </spirit:componentInstances> +</spirit:design> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xml new file mode 100644 index 0000000000000000000000000000000000000000..7533fdabdc21fa4bd7776393d9bfff9b52fbc010 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xml @@ -0,0 +1,1270 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>cern.ch</spirit:vendor> + <spirit:library>customized_ip</spirit:library> + <spirit:name>system_design_axi_wb_i2c_master_1_0</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:busInterfaces> + <spirit:busInterface> + <spirit:name>s00_axi</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_awaddr</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_awprot</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_awvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_awready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_wdata</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_wstrb</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_wvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_wready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_bresp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_bvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_bready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_araddr</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_arprot</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_arvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_arready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_rdata</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_rresp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_rvalid</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_rready</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>DATA_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.DATA_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PROTOCOL</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.PROTOCOL">AXI4LITE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.FREQ_HZ">100000000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ID_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.ID_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ADDR_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>AWUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.AWUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ARUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>WUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.WUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.RUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>BUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.BUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>READ_WRITE_MODE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.READ_WRITE_MODE">READ_WRITE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_BURST">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_LOCK</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_LOCK">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_PROT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_PROT">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_CACHE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_CACHE">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_QOS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_QOS">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_REGION</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_REGION">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_WSTRB</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_WSTRB">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_BRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_RRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_RRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SUPPORTS_NARROW_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_READ_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.NUM_READ_OUTSTANDING">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.NUM_WRITE_OUTSTANDING">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MAX_BURST_LENGTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.MAX_BURST_LENGTH">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.CLK_DOMAIN">system_design_processing_system7_0_0_FCLK_CLK0</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>s00_axi_aresetn</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_aresetn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>POLARITY</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_ARESETN.POLARITY" spirit:choiceRef="choice_list_9d8b0d81">ACTIVE_LOW</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>s00_axi_aclk</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s00_axi_aclk</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>ASSOCIATED_BUSIF</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_ACLK.ASSOCIATED_BUSIF">s00_axi</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_RESET</spirit:name> + <spirit:value spirit:id="BUSIFPARAM_VALUE.S00_AXI_ACLK.ASSOCIATED_RESET">s00_axi_aresetn</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI_ACLK.FREQ_HZ">100000000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI_ACLK.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI_ACLK.CLK_DOMAIN">system_design_processing_system7_0_0_FCLK_CLK0</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>i2c_master</spirit:name> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="iic" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="iic_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>SCL_I</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>i2c_scl_i</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>SCL_O</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>i2c_scl_o</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>SCL_T</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>i2c_scl_t</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>SDA_O</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>i2c_sda_o</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>SDA_I</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>i2c_sda_i</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>SDA_T</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>i2c_sda_t</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + </spirit:busInterfaces> + <spirit:model> + <spirit:views> + <spirit:view> + <spirit:name>xilinx_anylanguagesynthesis</spirit:name> + <spirit:displayName>Synthesis</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:synthesis</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_anylanguagesynthesis_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Wed Oct 11 12:50:46 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>f35bcb60</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>7cec4804</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_vhdlsynthesiswrapper</spirit:name> + <spirit:displayName>VHDL Synthesis Wrapper</spirit:displayName> + <spirit:envIdentifier>vhdlSource:vivado.xilinx.com:synthesis.wrapper</spirit:envIdentifier> + <spirit:language>vhdl</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_vhdlsynthesiswrapper_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>f35bcb60</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>7cec4804</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_anylanguagebehavioralsimulation</spirit:name> + <spirit:displayName>Simulation</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:simulation</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Wed Oct 11 12:50:46 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>f35bcb60</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5b6a9645</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_vhdlsimulationwrapper</spirit:name> + <spirit:displayName>VHDL Simulation Wrapper</spirit:displayName> + <spirit:envIdentifier>vhdlSource:vivado.xilinx.com:simulation.wrapper</spirit:envIdentifier> + <spirit:language>vhdl</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_vhdlsimulationwrapper_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>f35bcb60</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5b6a9645</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_externalfiles</spirit:name> + <spirit:displayName>External Files</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:external.files</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_externalfiles_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:24:48 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>f35bcb60</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>7cec4804</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + </spirit:views> + <spirit:ports> + <spirit:port> + <spirit:name>i2c_scl_i</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>i2c_scl_o</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>i2c_scl_t</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>i2c_sda_i</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>i2c_sda_o</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>i2c_sda_t</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>axi_int_o</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_aclk</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_aresetn</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_awaddr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_awprot</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_awvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_awready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_wdata</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_wstrb</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH')) / 8) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_wvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_wready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_bresp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_bvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_bready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_araddr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_arprot</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_arvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_arready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_rdata</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH')) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_rresp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic_vector</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_rvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s00_axi_rready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>std_logic</spirit:typeName> + <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="long">0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + </spirit:ports> + <spirit:modelParameters> + <spirit:modelParameter xsi:type="spirit:nameValueTypeType" spirit:dataType="integer"> + <spirit:name>C_S00_AXI_DATA_WIDTH</spirit:name> + <spirit:displayName>C S00 Axi Data Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S00_AXI_DATA_WIDTH">32</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_S00_AXI_ADDR_WIDTH</spirit:name> + <spirit:displayName>C S00 Axi Addr Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S00_AXI_ADDR_WIDTH">32</spirit:value> + </spirit:modelParameter> + </spirit:modelParameters> + </spirit:model> + <spirit:choices> + <spirit:choice> + <spirit:name>choice_list_9d8b0d81</spirit:name> + <spirit:enumeration>ACTIVE_HIGH</spirit:enumeration> + <spirit:enumeration>ACTIVE_LOW</spirit:enumeration> + </spirit:choice> + </spirit:choices> + <spirit:fileSets> + <spirit:fileSet> + <spirit:name>xilinx_anylanguagesynthesis_view_fileset</spirit:name> + <spirit:file> + <spirit:name>syn/ip_constraints_timing.xdc</spirit:name> + <spirit:userFileType>xdc</spirit:userFileType> + <spirit:userFileType>USED_IN_implementation</spirit:userFileType> + <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/modules/i2c_master_bit_ctrl.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/modules/i2c_master_byte_ctrl.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/modules/i2c_master_top.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/main_pkg.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/axi4/axis_wbm_bridge.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/modules/axis_to_i2c_wbs.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/counterUpDown.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/doubleBuffer.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/doubleBufferVector.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/shiftRegister.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/clockDivider.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_vhdlsynthesiswrapper_view_fileset</spirit:name> + <spirit:file> + <spirit:name>synth/system_design_axi_wb_i2c_master_1_0.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/modules/i2c_master_bit_ctrl.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/modules/i2c_master_byte_ctrl.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/modules/i2c_master_top.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/main_pkg.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/axi4/axis_wbm_bridge.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/modules/axis_to_i2c_wbs.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/sim/axis_to_i2c_wbs_tb.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/counterUpDown.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/spi_transceiver.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/doubleBuffer.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/doubleBufferVector.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/shiftRegister.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/clockDivider.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/general/doubleBufferEdge.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/cern.ch/axi_wb_i2c_master_v3_2_0/ip_cores/hdl_lib/modules/axi4/axi4lite_slave.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>hdl_lib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_vhdlsimulationwrapper_view_fileset</spirit:name> + <spirit:file> + <spirit:name>sim/system_design_axi_wb_i2c_master_1_0.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_externalfiles_view_fileset</spirit:name> + <spirit:file> + <spirit:name>system_design_axi_wb_i2c_master_1_0.dcp</spirit:name> + <spirit:userFileType>dcp</spirit:userFileType> + <spirit:userFileType>USED_IN_implementation</spirit:userFileType> + <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_axi_wb_i2c_master_1_0_stub.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_axi_wb_i2c_master_1_0_stub.vhdl</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_axi_wb_i2c_master_1_0_sim_netlist.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_simulation</spirit:userFileType> + <spirit:userFileType>USED_IN_single_language</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_axi_wb_i2c_master_1_0_sim_netlist.vhdl</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_simulation</spirit:userFileType> + <spirit:userFileType>USED_IN_single_language</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + </spirit:fileSets> + <spirit:description>axi_wb_i2c_master</spirit:description> + <spirit:parameters> + <spirit:parameter> + <spirit:name>C_S00_AXI_DATA_WIDTH</spirit:name> + <spirit:displayName>C S00 Axi Data Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_DATA_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>C_S00_AXI_ADDR_WIDTH</spirit:name> + <spirit:displayName>C S00 Axi Addr Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_S00_AXI_ADDR_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>Component_Name</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">system_design_axi_wb_i2c_master_1_0</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:coreExtensions> + <xilinx:displayName>axi_wb_i2c_master</xilinx:displayName> + <xilinx:coreRevision>8</xilinx:coreRevision> + <xilinx:tags> + <xilinx:tag xilinx:name="user.org:user:axi_wb_i2c_master:1.0_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/axi_wb_i2c_master</xilinx:tag> + <xilinx:tag xilinx:name="cern.ch:user:axi_wb_i2c_master:1.0_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/axi_wb_i2c_master</xilinx:tag> + <xilinx:tag xilinx:name="cern.ch:ip:axi_wb_i2c_master:1.0_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/axi_wb_i2c_master</xilinx:tag> + <xilinx:tag xilinx:name="cern.ch:ip:axi_wb_i2c_master:3.0_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/axi_wb_i2c_master</xilinx:tag> + <xilinx:tag xilinx:name="cern.ch:ip:axi_wb_i2c_master:3.1_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/axi_wb_i2c_master</xilinx:tag> + <xilinx:tag xilinx:name="cern.ch:ip:axi_wb_i2c_master:3.1.1_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/axi_wb_i2c_master</xilinx:tag> + <xilinx:tag xilinx:name="cern.ch:ip:axi_wb_i2c_master:3.1.2_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/axi_wb_i2c_master</xilinx:tag> + <xilinx:tag xilinx:name="cern.ch:ip:axi_wb_i2c_master:3.2.0_ARCHIVE_LOCATION">/home/pieter/Development/projects/FIDS/cores/axi_wb_i2c_master</xilinx:tag> + </xilinx:tags> + <xilinx:configElementInfos> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.AWUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.BUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.DATA_WIDTH" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.FREQ_HZ" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BURST" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_CACHE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_LOCK" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_QOS" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_REGION" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_RRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ID_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.MAX_BURST_LENGTH" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.PROTOCOL" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.READ_WRITE_MODE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.RUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.WUSER_WIDTH" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI_ACLK.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI_ACLK.FREQ_HZ" xilinx:valueSource="user_prop"/> + </xilinx:configElementInfos> + </xilinx:coreExtensions> + <xilinx:packagingInfo> + <xilinx:xilinxVersion>2016.2</xilinx:xilinxVersion> + <xilinx:checksum xilinx:scope="busInterfaces" xilinx:value="af993da4"/> + <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="6439802e"/> + <xilinx:checksum xilinx:scope="ports" xilinx:value="85b8712a"/> + <xilinx:checksum xilinx:scope="hdlParameters" xilinx:value="15a214e0"/> + <xilinx:checksum xilinx:scope="parameters" xilinx:value="675c1650"/> + </xilinx:packagingInfo> + </spirit:vendorExtensions> +</spirit:component> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.v new file mode 100644 index 0000000000000000000000000000000000000000..363355131bb3654ab830fd680000d2f47a67b13a --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.v @@ -0,0 +1,4084 @@ +// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +// Date : Mon Dec 18 11:24:48 2017 +// Host : lapte24154 running 64-bit openSUSE Leap 42.2 +// Command : write_verilog -force -mode funcsim +// /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.v +// Design : system_design_axi_wb_i2c_master_1_0 +// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified +// or synthesized. This netlist cannot be used for SDF annotated simulation. +// Device : xc7z030ffg676-2 +// -------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +(* CHECK_LICENSE_TYPE = "system_design_axi_wb_i2c_master_1_0,axi_wb_i2c_master,{}" *) (* downgradeipidentifiedwarnings = "yes" *) (* x_core_info = "axi_wb_i2c_master,Vivado 2016.2" *) +(* NotValidForBitStream *) +module system_design_axi_wb_i2c_master_1_0 + (i2c_scl_i, + i2c_scl_o, + i2c_scl_t, + i2c_sda_i, + i2c_sda_o, + i2c_sda_t, + axi_int_o, + s00_axi_aclk, + s00_axi_aresetn, + s00_axi_awaddr, + s00_axi_awprot, + s00_axi_awvalid, + s00_axi_awready, + s00_axi_wdata, + s00_axi_wstrb, + s00_axi_wvalid, + s00_axi_wready, + s00_axi_bresp, + s00_axi_bvalid, + s00_axi_bready, + s00_axi_araddr, + s00_axi_arprot, + s00_axi_arvalid, + s00_axi_arready, + s00_axi_rdata, + s00_axi_rresp, + s00_axi_rvalid, + s00_axi_rready); + (* x_interface_info = "xilinx.com:interface:iic:1.0 i2c_master SCL_I" *) input i2c_scl_i; + (* x_interface_info = "xilinx.com:interface:iic:1.0 i2c_master SCL_O" *) output i2c_scl_o; + (* x_interface_info = "xilinx.com:interface:iic:1.0 i2c_master SCL_T" *) output i2c_scl_t; + (* x_interface_info = "xilinx.com:interface:iic:1.0 i2c_master SDA_I" *) input i2c_sda_i; + (* x_interface_info = "xilinx.com:interface:iic:1.0 i2c_master SDA_O" *) output i2c_sda_o; + (* x_interface_info = "xilinx.com:interface:iic:1.0 i2c_master SDA_T" *) output i2c_sda_t; + output axi_int_o; + (* x_interface_info = "xilinx.com:signal:clock:1.0 s00_axi_aclk CLK" *) input s00_axi_aclk; + (* x_interface_info = "xilinx.com:signal:reset:1.0 s00_axi_aresetn RST" *) input s00_axi_aresetn; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi AWADDR" *) input [31:0]s00_axi_awaddr; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi AWPROT" *) input [2:0]s00_axi_awprot; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi AWVALID" *) input s00_axi_awvalid; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi AWREADY" *) output s00_axi_awready; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi WDATA" *) input [31:0]s00_axi_wdata; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi WSTRB" *) input [3:0]s00_axi_wstrb; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi WVALID" *) input s00_axi_wvalid; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi WREADY" *) output s00_axi_wready; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi BRESP" *) output [1:0]s00_axi_bresp; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi BVALID" *) output s00_axi_bvalid; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi BREADY" *) input s00_axi_bready; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi ARADDR" *) input [31:0]s00_axi_araddr; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi ARPROT" *) input [2:0]s00_axi_arprot; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi ARVALID" *) input s00_axi_arvalid; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi ARREADY" *) output s00_axi_arready; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi RDATA" *) output [31:0]s00_axi_rdata; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi RRESP" *) output [1:0]s00_axi_rresp; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi RVALID" *) output s00_axi_rvalid; + (* x_interface_info = "xilinx.com:interface:aximm:1.0 s00_axi RREADY" *) input s00_axi_rready; + + wire axi_int_o; + wire i2c_scl_i; + wire i2c_scl_o; + wire i2c_scl_t; + wire i2c_sda_i; + wire i2c_sda_o; + wire i2c_sda_t; + wire s00_axi_aclk; + wire [31:0]s00_axi_araddr; + wire s00_axi_aresetn; + wire [2:0]s00_axi_arprot; + wire s00_axi_arready; + wire s00_axi_arvalid; + wire [31:0]s00_axi_awaddr; + wire [2:0]s00_axi_awprot; + wire s00_axi_awready; + wire s00_axi_awvalid; + wire s00_axi_bready; + wire [1:0]s00_axi_bresp; + wire s00_axi_bvalid; + wire [31:0]s00_axi_rdata; + wire s00_axi_rready; + wire [1:0]s00_axi_rresp; + wire s00_axi_rvalid; + wire [31:0]s00_axi_wdata; + wire s00_axi_wready; + wire [3:0]s00_axi_wstrb; + wire s00_axi_wvalid; + + (* C_S00_AXI_ADDR_WIDTH = "32" *) + (* C_S00_AXI_DATA_WIDTH = "32" *) + system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master U0 + (.axi_int_o(axi_int_o), + .i2c_scl_i(i2c_scl_i), + .i2c_scl_o(i2c_scl_o), + .i2c_scl_t(i2c_scl_t), + .i2c_sda_i(i2c_sda_i), + .i2c_sda_o(i2c_sda_o), + .i2c_sda_t(i2c_sda_t), + .s00_axi_aclk(s00_axi_aclk), + .s00_axi_araddr(s00_axi_araddr), + .s00_axi_aresetn(s00_axi_aresetn), + .s00_axi_arprot(s00_axi_arprot), + .s00_axi_arready(s00_axi_arready), + .s00_axi_arvalid(s00_axi_arvalid), + .s00_axi_awaddr(s00_axi_awaddr), + .s00_axi_awprot(s00_axi_awprot), + .s00_axi_awready(s00_axi_awready), + .s00_axi_awvalid(s00_axi_awvalid), + .s00_axi_bready(s00_axi_bready), + .s00_axi_bresp(s00_axi_bresp), + .s00_axi_bvalid(s00_axi_bvalid), + .s00_axi_rdata(s00_axi_rdata), + .s00_axi_rready(s00_axi_rready), + .s00_axi_rresp(s00_axi_rresp), + .s00_axi_rvalid(s00_axi_rvalid), + .s00_axi_wdata(s00_axi_wdata), + .s00_axi_wready(s00_axi_wready), + .s00_axi_wstrb(s00_axi_wstrb), + .s00_axi_wvalid(s00_axi_wvalid)); +endmodule + +(* C_S00_AXI_ADDR_WIDTH = "32" *) (* C_S00_AXI_DATA_WIDTH = "32" *) (* ORIG_REF_NAME = "axi_wb_i2c_master" *) +module system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master + (i2c_scl_i, + i2c_scl_o, + i2c_scl_t, + i2c_sda_i, + i2c_sda_o, + i2c_sda_t, + axi_int_o, + s00_axi_aclk, + s00_axi_aresetn, + s00_axi_awaddr, + s00_axi_awprot, + s00_axi_awvalid, + s00_axi_awready, + s00_axi_wdata, + s00_axi_wstrb, + s00_axi_wvalid, + s00_axi_wready, + s00_axi_bresp, + s00_axi_bvalid, + s00_axi_bready, + s00_axi_araddr, + s00_axi_arprot, + s00_axi_arvalid, + s00_axi_arready, + s00_axi_rdata, + s00_axi_rresp, + s00_axi_rvalid, + s00_axi_rready); + input i2c_scl_i; + output i2c_scl_o; + output i2c_scl_t; + input i2c_sda_i; + output i2c_sda_o; + output i2c_sda_t; + output axi_int_o; + input s00_axi_aclk; + input s00_axi_aresetn; + input [31:0]s00_axi_awaddr; + input [2:0]s00_axi_awprot; + input s00_axi_awvalid; + output s00_axi_awready; + input [31:0]s00_axi_wdata; + input [3:0]s00_axi_wstrb; + input s00_axi_wvalid; + output s00_axi_wready; + output [1:0]s00_axi_bresp; + output s00_axi_bvalid; + input s00_axi_bready; + input [31:0]s00_axi_araddr; + input [2:0]s00_axi_arprot; + input s00_axi_arvalid; + output s00_axi_arready; + output [31:0]s00_axi_rdata; + output [1:0]s00_axi_rresp; + output s00_axi_rvalid; + input s00_axi_rready; + + wire \<const0> ; + wire axi_int_o; + wire cmp_axis_wbm_bridge_n_11; + wire cmp_axis_wbm_bridge_n_12; + wire cmp_axis_wbm_bridge_n_13; + wire cmp_axis_wbm_bridge_n_14; + wire cmp_axis_wbm_bridge_n_15; + wire cmp_axis_wbm_bridge_n_16; + wire cmp_axis_wbm_bridge_n_17; + wire cmp_axis_wbm_bridge_n_18; + wire cmp_axis_wbm_bridge_n_19; + wire cmp_axis_wbm_bridge_n_21; + wire cmp_axis_wbm_bridge_n_7; + wire cmp_i2c_master_top_n_6; + wire cmp_i2c_master_top_n_7; + wire ena; + wire i2c_scl_i; + wire i2c_scl_t; + wire i2c_sda_i; + wire i2c_sda_t; + wire s00_axi_aclk; + wire [31:0]s00_axi_araddr; + wire s00_axi_aresetn; + wire s00_axi_arready; + wire s00_axi_arvalid; + wire [31:0]s00_axi_awaddr; + wire s00_axi_awready; + wire s00_axi_awvalid; + wire s00_axi_bready; + wire [1:1]\^s00_axi_bresp ; + wire s00_axi_bvalid; + wire [7:0]\^s00_axi_rdata ; + wire s00_axi_rready; + wire s00_axi_rvalid; + wire [31:0]s00_axi_wdata; + wire s00_axi_wready; + wire s00_axi_wvalid; + wire wb_ack_i; + wire [2:0]wb_adr_o; + wire wb_cyc_o; + wire [7:0]wb_dat_o; + wire wb_rst_o; + wire wb_we_o; + + assign i2c_scl_o = \<const0> ; + assign i2c_sda_o = \<const0> ; + assign s00_axi_bresp[1] = \^s00_axi_bresp [1]; + assign s00_axi_bresp[0] = \<const0> ; + assign s00_axi_rdata[31] = \<const0> ; + assign s00_axi_rdata[30] = \<const0> ; + assign s00_axi_rdata[29] = \<const0> ; + assign s00_axi_rdata[28] = \<const0> ; + assign s00_axi_rdata[27] = \<const0> ; + assign s00_axi_rdata[26] = \<const0> ; + assign s00_axi_rdata[25] = \<const0> ; + assign s00_axi_rdata[24] = \<const0> ; + assign s00_axi_rdata[23] = \<const0> ; + assign s00_axi_rdata[22] = \<const0> ; + assign s00_axi_rdata[21] = \<const0> ; + assign s00_axi_rdata[20] = \<const0> ; + assign s00_axi_rdata[19] = \<const0> ; + assign s00_axi_rdata[18] = \<const0> ; + assign s00_axi_rdata[17] = \<const0> ; + assign s00_axi_rdata[16] = \<const0> ; + assign s00_axi_rdata[15] = \<const0> ; + assign s00_axi_rdata[14] = \<const0> ; + assign s00_axi_rdata[13] = \<const0> ; + assign s00_axi_rdata[12] = \<const0> ; + assign s00_axi_rdata[11] = \<const0> ; + assign s00_axi_rdata[10] = \<const0> ; + assign s00_axi_rdata[9] = \<const0> ; + assign s00_axi_rdata[8] = \<const0> ; + assign s00_axi_rdata[7:0] = \^s00_axi_rdata [7:0]; + assign s00_axi_rresp[1] = \<const0> ; + assign s00_axi_rresp[0] = \<const0> ; + GND GND + (.G(\<const0> )); + system_design_axi_wb_i2c_master_1_0_axis_wbm_bridge cmp_axis_wbm_bridge + (.D({cmp_axis_wbm_bridge_n_12,cmp_axis_wbm_bridge_n_13,cmp_axis_wbm_bridge_n_14,cmp_axis_wbm_bridge_n_15}), + .E(cmp_axis_wbm_bridge_n_11), + .Q(ena), + .\cr_reg[2] (cmp_axis_wbm_bridge_n_7), + .\cr_reg[4] (cmp_axis_wbm_bridge_n_16), + .\ctr_reg[0] (cmp_axis_wbm_bridge_n_19), + .iack_o_reg(cmp_axis_wbm_bridge_n_21), + .iack_o_reg_0(cmp_i2c_master_top_n_6), + .iack_o_reg_1(cmp_i2c_master_top_n_7), + .\prer_reg[8] ({cmp_axis_wbm_bridge_n_17,cmp_axis_wbm_bridge_n_18}), + .s00_axi_aclk(s00_axi_aclk), + .s00_axi_araddr(s00_axi_araddr[4:2]), + .s00_axi_aresetn(s00_axi_aresetn), + .s00_axi_arready(s00_axi_arready), + .s00_axi_arvalid(s00_axi_arvalid), + .s00_axi_awaddr(s00_axi_awaddr[4:2]), + .s00_axi_awready(s00_axi_awready), + .s00_axi_awvalid(s00_axi_awvalid), + .s00_axi_bready(s00_axi_bready), + .s00_axi_bresp(\^s00_axi_bresp ), + .s00_axi_bvalid(s00_axi_bvalid), + .s00_axi_rdata(\^s00_axi_rdata ), + .s00_axi_rready(s00_axi_rready), + .s00_axi_rvalid(s00_axi_rvalid), + .s00_axi_wdata(s00_axi_wdata[7:4]), + .s00_axi_wready(s00_axi_wready), + .s00_axi_wvalid(s00_axi_wvalid), + .wb_ack_i(wb_ack_i), + .wb_adr_o(wb_adr_o), + .wb_cyc_o(wb_cyc_o), + .\wb_dat_o_reg[7] (wb_dat_o), + .wb_rst_o(wb_rst_o), + .wb_we_o(wb_we_o)); + system_design_axi_wb_i2c_master_1_0_i2c_master_top cmp_i2c_master_top + (.D({cmp_axis_wbm_bridge_n_12,cmp_axis_wbm_bridge_n_13,cmp_axis_wbm_bridge_n_14,cmp_axis_wbm_bridge_n_15}), + .E({cmp_axis_wbm_bridge_n_17,cmp_axis_wbm_bridge_n_18}), + .Q(ena), + .axi_int_o(axi_int_o), + .i2c_scl_i(i2c_scl_i), + .i2c_scl_t(i2c_scl_t), + .i2c_sda_i(i2c_sda_i), + .i2c_sda_t(i2c_sda_t), + .iack_o_reg_0(cmp_axis_wbm_bridge_n_16), + .s00_axi_aclk(s00_axi_aclk), + .s00_axi_aresetn(s00_axi_aresetn), + .s00_axi_arvalid(s00_axi_arvalid), + .s00_axi_awvalid(s00_axi_awvalid), + .s00_axi_wdata(s00_axi_wdata[7:0]), + .\s_addr_reg[4] (cmp_axis_wbm_bridge_n_7), + .\s_rdata_reg[0] (cmp_i2c_master_top_n_7), + .\s_rdata_reg[7] (wb_dat_o), + .s_stb_r_reg(cmp_i2c_master_top_n_6), + .s_stb_r_reg_0(cmp_axis_wbm_bridge_n_21), + .s_we_r_reg(cmp_axis_wbm_bridge_n_19), + .s_we_r_reg_0(cmp_axis_wbm_bridge_n_11), + .wb_ack_i(wb_ack_i), + .wb_adr_o(wb_adr_o), + .wb_cyc_o(wb_cyc_o), + .wb_rst_o(wb_rst_o), + .wb_we_o(wb_we_o)); +endmodule + +(* ORIG_REF_NAME = "axis_wbm_bridge" *) +module system_design_axi_wb_i2c_master_1_0_axis_wbm_bridge + (s00_axi_awready, + s00_axi_wready, + s00_axi_arready, + wb_we_o, + wb_cyc_o, + s00_axi_bresp, + s00_axi_bvalid, + \cr_reg[2] , + wb_adr_o, + E, + D, + \cr_reg[4] , + \prer_reg[8] , + \ctr_reg[0] , + s00_axi_rvalid, + iack_o_reg, + s00_axi_rdata, + wb_rst_o, + s00_axi_aclk, + iack_o_reg_0, + Q, + s00_axi_aresetn, + wb_ack_i, + s00_axi_awvalid, + s00_axi_arvalid, + s00_axi_bready, + s00_axi_rready, + s00_axi_wvalid, + s00_axi_wdata, + s00_axi_araddr, + s00_axi_awaddr, + iack_o_reg_1, + \wb_dat_o_reg[7] ); + output s00_axi_awready; + output s00_axi_wready; + output s00_axi_arready; + output wb_we_o; + output wb_cyc_o; + output [0:0]s00_axi_bresp; + output s00_axi_bvalid; + output \cr_reg[2] ; + output [2:0]wb_adr_o; + output [0:0]E; + output [3:0]D; + output \cr_reg[4] ; + output [1:0]\prer_reg[8] ; + output [0:0]\ctr_reg[0] ; + output s00_axi_rvalid; + output iack_o_reg; + output [7:0]s00_axi_rdata; + input wb_rst_o; + input s00_axi_aclk; + input iack_o_reg_0; + input [0:0]Q; + input s00_axi_aresetn; + input wb_ack_i; + input s00_axi_awvalid; + input s00_axi_arvalid; + input s00_axi_bready; + input s00_axi_rready; + input s00_axi_wvalid; + input [3:0]s00_axi_wdata; + input [2:0]s00_axi_araddr; + input [2:0]s00_axi_awaddr; + input [0:0]iack_o_reg_1; + input [7:0]\wb_dat_o_reg[7] ; + + wire [3:0]D; + wire [0:0]E; + wire [0:0]Q; + wire \cr[2]_i_3_n_0 ; + wire \cr_reg[2] ; + wire \cr_reg[4] ; + wire [0:0]\ctr_reg[0] ; + wire iack_o_reg; + wire iack_o_reg_0; + wire [0:0]iack_o_reg_1; + wire [1:0]\prer_reg[8] ; + wire s00_axi_aclk; + wire [2:0]s00_axi_araddr; + wire s00_axi_aresetn; + wire s00_axi_arready; + wire s00_axi_arvalid; + wire [2:0]s00_axi_awaddr; + wire s00_axi_awready; + wire s00_axi_awvalid; + wire s00_axi_bready; + wire [0:0]s00_axi_bresp; + wire s00_axi_bvalid; + wire [7:0]s00_axi_rdata; + wire s00_axi_rready; + wire s00_axi_rvalid; + wire [3:0]s00_axi_wdata; + wire s00_axi_wready; + wire s00_axi_wvalid; + wire \s_addr[2]_i_1_n_0 ; + wire \s_addr[3]_i_1_n_0 ; + wire \s_addr[4]_i_1_n_0 ; + wire s_arready_i_1_n_0; + wire s_awready_i_1_n_0; + wire \s_bresp[1]_i_1_n_0 ; + wire s_bvalid; + wire s_bvalid_i_1_n_0; + wire s_rvalid; + wire s_rvalid_i_1_n_0; + wire s_we_r_i_1_n_0; + wire s_wready_i_1_n_0; + wire wb_ack_i; + wire [2:0]wb_adr_o; + wire wb_cyc_o; + wire [7:0]\wb_dat_o_reg[7] ; + wire wb_rst_o; + wire wb_we_o; + + LUT6 #( + .INIT(64'hFFFF0008FFFFFFFF)) + \cr[2]_i_2 + (.I0(wb_adr_o[2]), + .I1(Q), + .I2(wb_adr_o[1]), + .I3(wb_adr_o[0]), + .I4(\cr[2]_i_3_n_0 ), + .I5(s00_axi_aresetn), + .O(\cr_reg[2] )); + (* SOFT_HLUTNM = "soft_lutpair1" *) + LUT2 #( + .INIT(4'h7)) + \cr[2]_i_3 + (.I0(wb_we_o), + .I1(wb_ack_i), + .O(\cr[2]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair4" *) + LUT4 #( + .INIT(16'h8000)) + \cr[4]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[0]), + .I2(wb_we_o), + .I3(wb_ack_i), + .O(D[0])); + (* SOFT_HLUTNM = "soft_lutpair4" *) + LUT4 #( + .INIT(16'h8000)) + \cr[5]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[1]), + .I2(wb_we_o), + .I3(wb_ack_i), + .O(D[1])); + (* SOFT_HLUTNM = "soft_lutpair6" *) + LUT4 #( + .INIT(16'h8000)) + \cr[6]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[2]), + .I2(wb_we_o), + .I3(wb_ack_i), + .O(D[2])); + (* SOFT_HLUTNM = "soft_lutpair6" *) + LUT4 #( + .INIT(16'h8000)) + \cr[7]_i_2 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[3]), + .I2(wb_we_o), + .I3(wb_ack_i), + .O(D[3])); + LUT6 #( + .INIT(64'hFFFFFFFFFFFF7FFF)) + \cr[7]_i_3 + (.I0(wb_ack_i), + .I1(wb_we_o), + .I2(wb_adr_o[2]), + .I3(Q), + .I4(wb_adr_o[1]), + .I5(wb_adr_o[0]), + .O(\cr_reg[4] )); + (* SOFT_HLUTNM = "soft_lutpair1" *) + LUT5 #( + .INIT(32'h0080FFFF)) + \ctr[7]_i_1 + (.I0(wb_we_o), + .I1(wb_ack_i), + .I2(wb_adr_o[1]), + .I3(wb_adr_o[0]), + .I4(s00_axi_aresetn), + .O(\ctr_reg[0] )); + (* SOFT_HLUTNM = "soft_lutpair5" *) + LUT2 #( + .INIT(4'h2)) + iack_o_i_1 + (.I0(wb_cyc_o), + .I1(wb_ack_i), + .O(iack_o_reg)); + (* SOFT_HLUTNM = "soft_lutpair0" *) + LUT5 #( + .INIT(32'h75555555)) + \prer[15]_i_1 + (.I0(s00_axi_aresetn), + .I1(wb_adr_o[1]), + .I2(wb_ack_i), + .I3(wb_we_o), + .I4(wb_adr_o[0]), + .O(\prer_reg[8] [1])); + LUT6 #( + .INIT(64'h5555555557555555)) + \prer[7]_i_1 + (.I0(s00_axi_aresetn), + .I1(wb_adr_o[1]), + .I2(wb_adr_o[2]), + .I3(wb_ack_i), + .I4(wb_we_o), + .I5(wb_adr_o[0]), + .O(\prer_reg[8] [0])); + (* SOFT_HLUTNM = "soft_lutpair2" *) + LUT2 #( + .INIT(4'h8)) + s00_axi_bvalid_INST_0 + (.I0(s_bvalid), + .I1(wb_we_o), + .O(s00_axi_bvalid)); + (* SOFT_HLUTNM = "soft_lutpair3" *) + LUT2 #( + .INIT(4'h2)) + s00_axi_rvalid_INST_0 + (.I0(s_rvalid), + .I1(wb_we_o), + .O(s00_axi_rvalid)); + LUT5 #( + .INIT(32'hAACFAAC0)) + \s_addr[2]_i_1 + (.I0(s00_axi_araddr[0]), + .I1(s00_axi_awaddr[0]), + .I2(s00_axi_awvalid), + .I3(s00_axi_arvalid), + .I4(wb_adr_o[0]), + .O(\s_addr[2]_i_1_n_0 )); + LUT5 #( + .INIT(32'hAACFAAC0)) + \s_addr[3]_i_1 + (.I0(s00_axi_araddr[1]), + .I1(s00_axi_awaddr[1]), + .I2(s00_axi_awvalid), + .I3(s00_axi_arvalid), + .I4(wb_adr_o[1]), + .O(\s_addr[3]_i_1_n_0 )); + LUT5 #( + .INIT(32'hAACFAAC0)) + \s_addr[4]_i_1 + (.I0(s00_axi_araddr[2]), + .I1(s00_axi_awaddr[2]), + .I2(s00_axi_awvalid), + .I3(s00_axi_arvalid), + .I4(wb_adr_o[2]), + .O(\s_addr[4]_i_1_n_0 )); + FDRE #( + .INIT(1'b0)) + \s_addr_reg[2] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(\s_addr[2]_i_1_n_0 ), + .Q(wb_adr_o[0]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_addr_reg[3] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(\s_addr[3]_i_1_n_0 ), + .Q(wb_adr_o[1]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_addr_reg[4] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(\s_addr[4]_i_1_n_0 ), + .Q(wb_adr_o[2]), + .R(wb_rst_o)); + LUT2 #( + .INIT(4'h2)) + s_arready_i_1 + (.I0(s00_axi_arvalid), + .I1(s00_axi_arready), + .O(s_arready_i_1_n_0)); + FDRE s_arready_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(s_arready_i_1_n_0), + .Q(s00_axi_arready), + .R(wb_rst_o)); + (* SOFT_HLUTNM = "soft_lutpair7" *) + LUT3 #( + .INIT(8'h08)) + s_awready_i_1 + (.I0(s00_axi_wvalid), + .I1(s00_axi_awvalid), + .I2(s00_axi_awready), + .O(s_awready_i_1_n_0)); + FDRE s_awready_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(s_awready_i_1_n_0), + .Q(s00_axi_awready), + .R(wb_rst_o)); + (* SOFT_HLUTNM = "soft_lutpair2" *) + LUT5 #( + .INIT(32'hFF7F0000)) + \s_bresp[1]_i_1 + (.I0(s00_axi_aresetn), + .I1(wb_we_o), + .I2(wb_ack_i), + .I3(s_bvalid), + .I4(s00_axi_bresp), + .O(\s_bresp[1]_i_1_n_0 )); + FDRE #( + .INIT(1'b0)) + \s_bresp_reg[1] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(\s_bresp[1]_i_1_n_0 ), + .Q(s00_axi_bresp), + .R(1'b0)); + LUT4 #( + .INIT(16'h0F88)) + s_bvalid_i_1 + (.I0(wb_we_o), + .I1(wb_ack_i), + .I2(s00_axi_bready), + .I3(s_bvalid), + .O(s_bvalid_i_1_n_0)); + FDRE s_bvalid_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(s_bvalid_i_1_n_0), + .Q(s_bvalid), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_rdata_reg[0] + (.C(s00_axi_aclk), + .CE(iack_o_reg_1), + .D(\wb_dat_o_reg[7] [0]), + .Q(s00_axi_rdata[0]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_rdata_reg[1] + (.C(s00_axi_aclk), + .CE(iack_o_reg_1), + .D(\wb_dat_o_reg[7] [1]), + .Q(s00_axi_rdata[1]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_rdata_reg[2] + (.C(s00_axi_aclk), + .CE(iack_o_reg_1), + .D(\wb_dat_o_reg[7] [2]), + .Q(s00_axi_rdata[2]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_rdata_reg[3] + (.C(s00_axi_aclk), + .CE(iack_o_reg_1), + .D(\wb_dat_o_reg[7] [3]), + .Q(s00_axi_rdata[3]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_rdata_reg[4] + (.C(s00_axi_aclk), + .CE(iack_o_reg_1), + .D(\wb_dat_o_reg[7] [4]), + .Q(s00_axi_rdata[4]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_rdata_reg[5] + (.C(s00_axi_aclk), + .CE(iack_o_reg_1), + .D(\wb_dat_o_reg[7] [5]), + .Q(s00_axi_rdata[5]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_rdata_reg[6] + (.C(s00_axi_aclk), + .CE(iack_o_reg_1), + .D(\wb_dat_o_reg[7] [6]), + .Q(s00_axi_rdata[6]), + .R(wb_rst_o)); + FDRE #( + .INIT(1'b0)) + \s_rdata_reg[7] + (.C(s00_axi_aclk), + .CE(iack_o_reg_1), + .D(\wb_dat_o_reg[7] [7]), + .Q(s00_axi_rdata[7]), + .R(wb_rst_o)); + (* SOFT_HLUTNM = "soft_lutpair5" *) + LUT4 #( + .INIT(16'h4F44)) + s_rvalid_i_1 + (.I0(s00_axi_rready), + .I1(s_rvalid), + .I2(wb_we_o), + .I3(wb_ack_i), + .O(s_rvalid_i_1_n_0)); + FDRE s_rvalid_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(s_rvalid_i_1_n_0), + .Q(s_rvalid), + .R(wb_rst_o)); + FDRE s_stb_r_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(iack_o_reg_0), + .Q(wb_cyc_o), + .R(wb_rst_o)); + (* SOFT_HLUTNM = "soft_lutpair3" *) + LUT4 #( + .INIT(16'h00E0)) + s_we_r_i_1 + (.I0(wb_we_o), + .I1(s00_axi_awvalid), + .I2(s00_axi_aresetn), + .I3(s00_axi_arvalid), + .O(s_we_r_i_1_n_0)); + FDRE s_we_r_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(s_we_r_i_1_n_0), + .Q(wb_we_o), + .R(1'b0)); + (* SOFT_HLUTNM = "soft_lutpair7" *) + LUT3 #( + .INIT(8'h08)) + s_wready_i_1 + (.I0(s00_axi_wvalid), + .I1(s00_axi_awvalid), + .I2(s00_axi_wready), + .O(s_wready_i_1_n_0)); + FDRE s_wready_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(s_wready_i_1_n_0), + .Q(s00_axi_wready), + .R(wb_rst_o)); + (* SOFT_HLUTNM = "soft_lutpair0" *) + LUT5 #( + .INIT(32'h8000FFFF)) + \txr[7]_i_1 + (.I0(wb_we_o), + .I1(wb_ack_i), + .I2(wb_adr_o[0]), + .I3(wb_adr_o[1]), + .I4(s00_axi_aresetn), + .O(E)); +endmodule + +(* ORIG_REF_NAME = "i2c_master_bit_ctrl" *) +module system_design_axi_wb_i2c_master_1_0_i2c_master_bit_ctrl + (iscl_oen_reg_0, + i2c_scl_t, + i2c_sda_t, + E, + irq_flag1_out, + al, + D, + \statemachine.core_cmd_reg[3] , + \statemachine.ld_reg , + \statemachine.core_txd_reg , + \statemachine.shift_reg , + \statemachine.host_ack_reg , + \statemachine.ack_out_reg , + \cr_reg[4] , + \sr_reg[0] , + \FSM_sequential_statemachine.c_state_reg[2] , + s00_axi_aclk, + s00_axi_aresetn, + out, + \cr_reg[0] , + cmd_ack, + irq_flag, + Q, + \ctr_reg[7] , + i2c_sda_i, + i2c_scl_i, + \statemachine.core_cmd_reg[3]_0 , + \st_irq_block.al_reg , + \cr_reg[7] , + wb_adr_o, + \sr_reg[6] , + \txr_reg[6] , + \FSM_sequential_statemachine.c_state_reg[1] , + core_cmd, + \FSM_sequential_statemachine.c_state_reg[1]_0 , + cnt_done, + ack_out, + iack_o_reg, + wb_we_o, + iack_o_reg_0, + \statemachine.ld_reg_0 , + \FSM_sequential_statemachine.c_state_reg[1]_1 , + \FSM_sequential_statemachine.c_state_reg[1]_2 , + ack_in, + \sr_reg[7] , + \cr_reg[7]_0 , + \statemachine.core_txd_reg_0 ); + output iscl_oen_reg_0; + output i2c_scl_t; + output i2c_sda_t; + output [0:0]E; + output irq_flag1_out; + output al; + output [0:0]D; + output [3:0]\statemachine.core_cmd_reg[3] ; + output \statemachine.ld_reg ; + output \statemachine.core_txd_reg ; + output \statemachine.shift_reg ; + output \statemachine.host_ack_reg ; + output \statemachine.ack_out_reg ; + output [0:0]\cr_reg[4] ; + output [0:0]\sr_reg[0] ; + output [2:0]\FSM_sequential_statemachine.c_state_reg[2] ; + input s00_axi_aclk; + input s00_axi_aresetn; + input [2:0]out; + input \cr_reg[0] ; + input cmd_ack; + input irq_flag; + input [15:0]Q; + input [0:0]\ctr_reg[7] ; + input i2c_sda_i; + input i2c_scl_i; + input [3:0]\statemachine.core_cmd_reg[3]_0 ; + input \st_irq_block.al_reg ; + input [3:0]\cr_reg[7] ; + input [2:0]wb_adr_o; + input \sr_reg[6] ; + input [1:0]\txr_reg[6] ; + input \FSM_sequential_statemachine.c_state_reg[1] ; + input [0:0]core_cmd; + input \FSM_sequential_statemachine.c_state_reg[1]_0 ; + input cnt_done; + input ack_out; + input iack_o_reg; + input wb_we_o; + input iack_o_reg_0; + input \statemachine.ld_reg_0 ; + input \FSM_sequential_statemachine.c_state_reg[1]_1 ; + input \FSM_sequential_statemachine.c_state_reg[1]_2 ; + input ack_in; + input [0:0]\sr_reg[7] ; + input \cr_reg[7]_0 ; + input \statemachine.core_txd_reg_0 ; + + wire [0:0]D; + wire [0:0]E; + wire \FSM_sequential_c_state[0]_i_1_n_0 ; + wire \FSM_sequential_c_state[0]_i_2_n_0 ; + wire \FSM_sequential_c_state[1]_i_1_n_0 ; + wire \FSM_sequential_c_state[1]_i_2_n_0 ; + wire \FSM_sequential_c_state[1]_i_3_n_0 ; + wire \FSM_sequential_c_state[2]_i_1_n_0 ; + wire \FSM_sequential_c_state[2]_i_2_n_0 ; + wire \FSM_sequential_c_state[3]_i_1_n_0 ; + wire \FSM_sequential_c_state[3]_i_2_n_0 ; + wire \FSM_sequential_c_state[3]_i_3_n_0 ; + wire \FSM_sequential_c_state[4]_i_1_n_0 ; + wire \FSM_sequential_c_state[4]_i_2_n_0 ; + wire \FSM_sequential_c_state[4]_i_3_n_0 ; + wire \FSM_sequential_statemachine.c_state[2]_i_3_n_0 ; + wire \FSM_sequential_statemachine.c_state_reg[1] ; + wire \FSM_sequential_statemachine.c_state_reg[1]_0 ; + wire \FSM_sequential_statemachine.c_state_reg[1]_1 ; + wire \FSM_sequential_statemachine.c_state_reg[1]_2 ; + wire [2:0]\FSM_sequential_statemachine.c_state_reg[2] ; + wire [15:0]Q; + wire ack_in; + wire ack_out; + wire al; + wire \bus_status_ctrl.cSCL[0]_i_1_n_0 ; + wire \bus_status_ctrl.cSCL[1]_i_1_n_0 ; + wire \bus_status_ctrl.cSDA[0]_i_1_n_0 ; + wire \bus_status_ctrl.cSDA[1]_i_1_n_0 ; + wire \bus_status_ctrl.cSDA_reg_n_0_[1] ; + wire \bus_status_ctrl.cmd_stop_i_1_n_0 ; + wire \bus_status_ctrl.cmd_stop_i_2_n_0 ; + wire \bus_status_ctrl.cmd_stop_reg_n_0 ; + wire \bus_status_ctrl.dSCL_i_1_n_0 ; + wire \bus_status_ctrl.dSDA_i_1_n_0 ; + wire \bus_status_ctrl.dout_i_1_n_0 ; + wire \bus_status_ctrl.fSCL[0]_i_1_n_0 ; + wire \bus_status_ctrl.fSCL[1]_i_1_n_0 ; + wire \bus_status_ctrl.fSCL[2]_i_1_n_0 ; + wire \bus_status_ctrl.fSCL_reg_n_0_[2] ; + wire \bus_status_ctrl.fSDA[0]_i_1_n_0 ; + wire \bus_status_ctrl.fSDA[1]_i_1_n_0 ; + wire \bus_status_ctrl.fSDA[2]_i_1_n_0 ; + wire \bus_status_ctrl.fSDA[2]_i_2_n_0 ; + wire \bus_status_ctrl.fSDA_reg_n_0_[0] ; + wire \bus_status_ctrl.fSDA_reg_n_0_[1] ; + wire \bus_status_ctrl.fSDA_reg_n_0_[2] ; + wire \bus_status_ctrl.filter_cnt[0]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[10]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[11]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[12]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[13]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[13]_i_2_n_0 ; + wire \bus_status_ctrl.filter_cnt[13]_i_3_n_0 ; + wire \bus_status_ctrl.filter_cnt[13]_i_4_n_0 ; + wire \bus_status_ctrl.filter_cnt[1]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[2]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[3]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[4]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[5]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[6]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[7]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[8]_i_1_n_0 ; + wire \bus_status_ctrl.filter_cnt[9]_i_1_n_0 ; + wire \bus_status_ctrl.ial_i_2_n_0 ; + wire \bus_status_ctrl.ial_i_3_n_0 ; + wire \bus_status_ctrl.sSCL_i_1_n_0 ; + wire \bus_status_ctrl.sSDA_i_1_n_0 ; + wire \bus_status_ctrl.sta_condition_reg_n_0 ; + wire \bus_status_ctrl.sto_condition_reg_n_0 ; + (* RTL_KEEP = "yes" *) wire [4:0]c_state; + wire clk_en; + wire clk_en_i_2_n_0; + wire clk_en_i_3_n_0; + wire clk_en_i_4_n_0; + wire clk_en_i_5_n_0; + wire clk_en_i_6_n_0; + wire cmd_ack; + wire cmd_ack3_out; + wire cmd_ack_i_2_n_0; + wire cnt1; + wire \cnt[0]_i_10_n_0 ; + wire \cnt[0]_i_1_n_0 ; + wire \cnt[0]_i_3_n_0 ; + wire \cnt[0]_i_4_n_0 ; + wire \cnt[0]_i_5_n_0 ; + wire \cnt[0]_i_6_n_0 ; + wire \cnt[0]_i_7_n_0 ; + wire \cnt[0]_i_8_n_0 ; + wire \cnt[0]_i_9_n_0 ; + wire \cnt[12]_i_2_n_0 ; + wire \cnt[12]_i_3_n_0 ; + wire \cnt[12]_i_4_n_0 ; + wire \cnt[12]_i_5_n_0 ; + wire \cnt[12]_i_6_n_0 ; + wire \cnt[12]_i_7_n_0 ; + wire \cnt[12]_i_8_n_0 ; + wire \cnt[4]_i_2_n_0 ; + wire \cnt[4]_i_3_n_0 ; + wire \cnt[4]_i_4_n_0 ; + wire \cnt[4]_i_5_n_0 ; + wire \cnt[4]_i_6_n_0 ; + wire \cnt[4]_i_7_n_0 ; + wire \cnt[4]_i_8_n_0 ; + wire \cnt[4]_i_9_n_0 ; + wire \cnt[8]_i_2_n_0 ; + wire \cnt[8]_i_3_n_0 ; + wire \cnt[8]_i_4_n_0 ; + wire \cnt[8]_i_5_n_0 ; + wire \cnt[8]_i_6_n_0 ; + wire \cnt[8]_i_7_n_0 ; + wire \cnt[8]_i_8_n_0 ; + wire \cnt[8]_i_9_n_0 ; + wire cnt_done; + wire [15:0]cnt_reg; + wire \cnt_reg[0]_i_2_n_0 ; + wire \cnt_reg[0]_i_2_n_1 ; + wire \cnt_reg[0]_i_2_n_2 ; + wire \cnt_reg[0]_i_2_n_3 ; + wire \cnt_reg[0]_i_2_n_4 ; + wire \cnt_reg[0]_i_2_n_5 ; + wire \cnt_reg[0]_i_2_n_6 ; + wire \cnt_reg[0]_i_2_n_7 ; + wire \cnt_reg[12]_i_1_n_1 ; + wire \cnt_reg[12]_i_1_n_2 ; + wire \cnt_reg[12]_i_1_n_3 ; + wire \cnt_reg[12]_i_1_n_4 ; + wire \cnt_reg[12]_i_1_n_5 ; + wire \cnt_reg[12]_i_1_n_6 ; + wire \cnt_reg[12]_i_1_n_7 ; + wire \cnt_reg[4]_i_1_n_0 ; + wire \cnt_reg[4]_i_1_n_1 ; + wire \cnt_reg[4]_i_1_n_2 ; + wire \cnt_reg[4]_i_1_n_3 ; + wire \cnt_reg[4]_i_1_n_4 ; + wire \cnt_reg[4]_i_1_n_5 ; + wire \cnt_reg[4]_i_1_n_6 ; + wire \cnt_reg[4]_i_1_n_7 ; + wire \cnt_reg[8]_i_1_n_0 ; + wire \cnt_reg[8]_i_1_n_1 ; + wire \cnt_reg[8]_i_1_n_2 ; + wire \cnt_reg[8]_i_1_n_3 ; + wire \cnt_reg[8]_i_1_n_4 ; + wire \cnt_reg[8]_i_1_n_5 ; + wire \cnt_reg[8]_i_1_n_6 ; + wire \cnt_reg[8]_i_1_n_7 ; + wire core_ack; + wire [0:0]core_cmd; + wire core_rxd; + wire core_txd; + wire \cr_reg[0] ; + wire [0:0]\cr_reg[4] ; + wire [3:0]\cr_reg[7] ; + wire \cr_reg[7]_0 ; + wire [0:0]\ctr_reg[7] ; + wire dSCL; + wire dSDA; + wire dscl_oen; + wire [13:0]filter_cnt; + wire i2c_al; + wire i2c_busy; + wire i2c_scl_i; + wire i2c_scl_t; + wire i2c_sda_i; + wire i2c_sda_t; + wire iack_o_reg; + wire iack_o_reg_0; + wire ial; + wire ibusy; + wire irq_flag; + wire irq_flag1_out; + wire iscl_oen; + wire iscl_oen9_out__0; + wire iscl_oen_i_1_n_0; + wire iscl_oen_reg_0; + wire isda_oen; + wire isda_oen7_out__0; + wire isda_oen_i_1_n_0; + wire minusOp_carry__0_i_1_n_0; + wire minusOp_carry__0_i_2_n_0; + wire minusOp_carry__0_i_3_n_0; + wire minusOp_carry__0_i_4_n_0; + wire minusOp_carry__0_n_0; + wire minusOp_carry__0_n_1; + wire minusOp_carry__0_n_2; + wire minusOp_carry__0_n_3; + wire minusOp_carry__0_n_4; + wire minusOp_carry__0_n_5; + wire minusOp_carry__0_n_6; + wire minusOp_carry__0_n_7; + wire minusOp_carry__1_i_1_n_0; + wire minusOp_carry__1_i_2_n_0; + wire minusOp_carry__1_i_3_n_0; + wire minusOp_carry__1_i_4_n_0; + wire minusOp_carry__1_n_0; + wire minusOp_carry__1_n_1; + wire minusOp_carry__1_n_2; + wire minusOp_carry__1_n_3; + wire minusOp_carry__1_n_4; + wire minusOp_carry__1_n_5; + wire minusOp_carry__1_n_6; + wire minusOp_carry__1_n_7; + wire minusOp_carry__2_i_1_n_0; + wire minusOp_carry__2_n_7; + wire minusOp_carry_i_1_n_0; + wire minusOp_carry_i_2_n_0; + wire minusOp_carry_i_3_n_0; + wire minusOp_carry_i_4_n_0; + wire minusOp_carry_n_0; + wire minusOp_carry_n_1; + wire minusOp_carry_n_2; + wire minusOp_carry_n_3; + wire minusOp_carry_n_4; + wire minusOp_carry_n_5; + wire minusOp_carry_n_6; + wire minusOp_carry_n_7; + wire [2:0]out; + wire [1:1]p_0_in; + wire [1:1]p_0_in__0; + wire [2:0]p_0_in__1; + wire s00_axi_aclk; + wire s00_axi_aresetn; + wire sSCL; + wire sSDA; + wire sda_chk_i_1_n_0; + wire sda_chk_reg_n_0; + wire slave_wait; + wire slave_wait0; + wire [0:0]\sr_reg[0] ; + wire \sr_reg[6] ; + wire [0:0]\sr_reg[7] ; + wire \st_irq_block.al_reg ; + wire sta_condition; + wire \statemachine.ack_out_i_2_n_0 ; + wire \statemachine.ack_out_reg ; + wire [3:0]\statemachine.core_cmd_reg[3] ; + wire [3:0]\statemachine.core_cmd_reg[3]_0 ; + wire \statemachine.core_txd_reg ; + wire \statemachine.core_txd_reg_0 ; + wire \statemachine.host_ack_reg ; + wire \statemachine.ld_reg ; + wire \statemachine.ld_reg_0 ; + wire \statemachine.shift_reg ; + wire sto_condition; + wire [1:0]\txr_reg[6] ; + wire [2:0]wb_adr_o; + wire \wb_dat_o[6]_i_3_n_0 ; + wire wb_we_o; + wire [3:3]\NLW_cnt_reg[12]_i_1_CO_UNCONNECTED ; + wire [3:0]NLW_minusOp_carry__2_CO_UNCONNECTED; + wire [3:1]NLW_minusOp_carry__2_O_UNCONNECTED; + + LUT6 #( + .INIT(64'h1111111111111110)) + \FSM_sequential_c_state[0]_i_1 + (.I0(\FSM_sequential_c_state[4]_i_3_n_0 ), + .I1(c_state[0]), + .I2(c_state[2]), + .I3(c_state[3]), + .I4(\FSM_sequential_c_state[0]_i_2_n_0 ), + .I5(c_state[4]), + .O(\FSM_sequential_c_state[0]_i_1_n_0 )); + LUT5 #( + .INIT(32'hAAAAAABA)) + \FSM_sequential_c_state[0]_i_2 + (.I0(c_state[1]), + .I1(\statemachine.core_cmd_reg[3]_0 [1]), + .I2(\statemachine.core_cmd_reg[3]_0 [0]), + .I3(\statemachine.core_cmd_reg[3]_0 [3]), + .I4(\statemachine.core_cmd_reg[3]_0 [2]), + .O(\FSM_sequential_c_state[0]_i_2_n_0 )); + LUT4 #( + .INIT(16'h0400)) + \FSM_sequential_c_state[1]_i_1 + (.I0(i2c_al), + .I1(s00_axi_aresetn), + .I2(c_state[4]), + .I3(\FSM_sequential_c_state[1]_i_2_n_0 ), + .O(\FSM_sequential_c_state[1]_i_1_n_0 )); + LUT6 #( + .INIT(64'hEEEFEFFE44444444)) + \FSM_sequential_c_state[1]_i_2 + (.I0(c_state[0]), + .I1(c_state[1]), + .I2(\statemachine.core_cmd_reg[3]_0 [1]), + .I3(\statemachine.core_cmd_reg[3]_0 [2]), + .I4(\statemachine.core_cmd_reg[3]_0 [3]), + .I5(\FSM_sequential_c_state[1]_i_3_n_0 ), + .O(\FSM_sequential_c_state[1]_i_2_n_0 )); + LUT5 #( + .INIT(32'h00001101)) + \FSM_sequential_c_state[1]_i_3 + (.I0(c_state[2]), + .I1(c_state[1]), + .I2(\statemachine.core_cmd_reg[3]_0 [0]), + .I3(c_state[0]), + .I4(c_state[3]), + .O(\FSM_sequential_c_state[1]_i_3_n_0 )); + LUT6 #( + .INIT(64'h0002A0A2AAAA0002)) + \FSM_sequential_c_state[2]_i_1 + (.I0(\FSM_sequential_c_state[3]_i_2_n_0 ), + .I1(c_state[3]), + .I2(c_state[1]), + .I3(\FSM_sequential_c_state[2]_i_2_n_0 ), + .I4(c_state[2]), + .I5(c_state[0]), + .O(\FSM_sequential_c_state[2]_i_1_n_0 )); + LUT5 #( + .INIT(32'hFFFFFEEF)) + \FSM_sequential_c_state[2]_i_2 + (.I0(c_state[0]), + .I1(\statemachine.core_cmd_reg[3]_0 [3]), + .I2(\statemachine.core_cmd_reg[3]_0 [1]), + .I3(\statemachine.core_cmd_reg[3]_0 [2]), + .I4(\statemachine.core_cmd_reg[3]_0 [0]), + .O(\FSM_sequential_c_state[2]_i_2_n_0 )); + LUT6 #( + .INIT(64'h0AA8A0A800A800A8)) + \FSM_sequential_c_state[3]_i_1 + (.I0(\FSM_sequential_c_state[3]_i_2_n_0 ), + .I1(\FSM_sequential_c_state[3]_i_3_n_0 ), + .I2(c_state[3]), + .I3(c_state[0]), + .I4(c_state[2]), + .I5(c_state[1]), + .O(\FSM_sequential_c_state[3]_i_1_n_0 )); + LUT3 #( + .INIT(8'h04)) + \FSM_sequential_c_state[3]_i_2 + (.I0(c_state[4]), + .I1(s00_axi_aresetn), + .I2(i2c_al), + .O(\FSM_sequential_c_state[3]_i_2_n_0 )); + LUT6 #( + .INIT(64'h0000000000000006)) + \FSM_sequential_c_state[3]_i_3 + (.I0(\statemachine.core_cmd_reg[3]_0 [3]), + .I1(\statemachine.core_cmd_reg[3]_0 [2]), + .I2(\statemachine.core_cmd_reg[3]_0 [0]), + .I3(\statemachine.core_cmd_reg[3]_0 [1]), + .I4(c_state[1]), + .I5(c_state[2]), + .O(\FSM_sequential_c_state[3]_i_3_n_0 )); + LUT6 #( + .INIT(64'hBBBBBBBFAAAAAAAA)) + \FSM_sequential_c_state[4]_i_1 + (.I0(\FSM_sequential_c_state[4]_i_3_n_0 ), + .I1(c_state[4]), + .I2(c_state[3]), + .I3(c_state[1]), + .I4(c_state[2]), + .I5(clk_en), + .O(\FSM_sequential_c_state[4]_i_1_n_0 )); + LUT6 #( + .INIT(64'h0000000080FF8000)) + \FSM_sequential_c_state[4]_i_2 + (.I0(c_state[3]), + .I1(c_state[1]), + .I2(c_state[2]), + .I3(c_state[0]), + .I4(c_state[4]), + .I5(\FSM_sequential_c_state[4]_i_3_n_0 ), + .O(\FSM_sequential_c_state[4]_i_2_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair9" *) + LUT2 #( + .INIT(4'hB)) + \FSM_sequential_c_state[4]_i_3 + (.I0(i2c_al), + .I1(s00_axi_aresetn), + .O(\FSM_sequential_c_state[4]_i_3_n_0 )); + (* KEEP = "yes" *) + FDCE \FSM_sequential_c_state_reg[0] + (.C(s00_axi_aclk), + .CE(\FSM_sequential_c_state[4]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\FSM_sequential_c_state[0]_i_1_n_0 ), + .Q(c_state[0])); + (* KEEP = "yes" *) + FDCE \FSM_sequential_c_state_reg[1] + (.C(s00_axi_aclk), + .CE(\FSM_sequential_c_state[4]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\FSM_sequential_c_state[1]_i_1_n_0 ), + .Q(c_state[1])); + (* KEEP = "yes" *) + FDCE \FSM_sequential_c_state_reg[2] + (.C(s00_axi_aclk), + .CE(\FSM_sequential_c_state[4]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\FSM_sequential_c_state[2]_i_1_n_0 ), + .Q(c_state[2])); + (* KEEP = "yes" *) + FDCE \FSM_sequential_c_state_reg[3] + (.C(s00_axi_aclk), + .CE(\FSM_sequential_c_state[4]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\FSM_sequential_c_state[3]_i_1_n_0 ), + .Q(c_state[3])); + (* KEEP = "yes" *) + FDCE \FSM_sequential_c_state_reg[4] + (.C(s00_axi_aclk), + .CE(\FSM_sequential_c_state[4]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\FSM_sequential_c_state[4]_i_2_n_0 ), + .Q(c_state[4])); + LUT6 #( + .INIT(64'h0000000022222E22)) + \FSM_sequential_statemachine.c_state[0]_i_1 + (.I0(\FSM_sequential_statemachine.c_state_reg[1]_1 ), + .I1(out[2]), + .I2(out[1]), + .I3(\cr_reg[7] [2]), + .I4(out[0]), + .I5(\FSM_sequential_c_state[4]_i_3_n_0 ), + .O(\FSM_sequential_statemachine.c_state_reg[2] [0])); + LUT6 #( + .INIT(64'h0000000015100000)) + \FSM_sequential_statemachine.c_state[1]_i_1 + (.I0(out[2]), + .I1(cnt_done), + .I2(out[1]), + .I3(\cr_reg[7]_0 ), + .I4(s00_axi_aresetn), + .I5(i2c_al), + .O(\FSM_sequential_statemachine.c_state_reg[2] [1])); + LUT6 #( + .INIT(64'hDDFFDDDDFFFDDDFD)) + \FSM_sequential_statemachine.c_state[2]_i_1 + (.I0(s00_axi_aresetn), + .I1(i2c_al), + .I2(\FSM_sequential_statemachine.c_state[2]_i_3_n_0 ), + .I3(out[1]), + .I4(core_ack), + .I5(out[2]), + .O(E)); + LUT6 #( + .INIT(64'h0000000022222E22)) + \FSM_sequential_statemachine.c_state[2]_i_2 + (.I0(\FSM_sequential_statemachine.c_state_reg[1]_2 ), + .I1(out[2]), + .I2(out[1]), + .I3(\cr_reg[7] [2]), + .I4(out[0]), + .I5(\FSM_sequential_c_state[4]_i_3_n_0 ), + .O(\FSM_sequential_statemachine.c_state_reg[2] [2])); + LUT6 #( + .INIT(64'h8B8B8B8B8B8B8B88)) + \FSM_sequential_statemachine.c_state[2]_i_3 + (.I0(core_ack), + .I1(out[0]), + .I2(cmd_ack), + .I3(\cr_reg[7] [0]), + .I4(\cr_reg[7] [1]), + .I5(\cr_reg[7] [2]), + .O(\FSM_sequential_statemachine.c_state[2]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair19" *) + LUT2 #( + .INIT(4'h8)) + \bus_status_ctrl.cSCL[0]_i_1 + (.I0(s00_axi_aresetn), + .I1(i2c_scl_i), + .O(\bus_status_ctrl.cSCL[0]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair15" *) + LUT2 #( + .INIT(4'h8)) + \bus_status_ctrl.cSCL[1]_i_1 + (.I0(s00_axi_aresetn), + .I1(p_0_in__0), + .O(\bus_status_ctrl.cSCL[1]_i_1_n_0 )); + FDCE \bus_status_ctrl.cSCL_reg[0] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.cSCL[0]_i_1_n_0 ), + .Q(p_0_in__0)); + FDCE \bus_status_ctrl.cSCL_reg[1] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.cSCL[1]_i_1_n_0 ), + .Q(p_0_in__1[0])); + (* SOFT_HLUTNM = "soft_lutpair19" *) + LUT2 #( + .INIT(4'h8)) + \bus_status_ctrl.cSDA[0]_i_1 + (.I0(s00_axi_aresetn), + .I1(i2c_sda_i), + .O(\bus_status_ctrl.cSDA[0]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair13" *) + LUT2 #( + .INIT(4'h8)) + \bus_status_ctrl.cSDA[1]_i_1 + (.I0(s00_axi_aresetn), + .I1(p_0_in), + .O(\bus_status_ctrl.cSDA[1]_i_1_n_0 )); + FDCE \bus_status_ctrl.cSDA_reg[0] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.cSDA[0]_i_1_n_0 ), + .Q(p_0_in)); + FDCE \bus_status_ctrl.cSDA_reg[1] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.cSDA[1]_i_1_n_0 ), + .Q(\bus_status_ctrl.cSDA_reg_n_0_[1] )); + LUT6 #( + .INIT(64'h04FF000004000000)) + \bus_status_ctrl.cmd_stop_i_1 + (.I0(\statemachine.core_cmd_reg[3]_0 [0]), + .I1(\statemachine.core_cmd_reg[3]_0 [1]), + .I2(\bus_status_ctrl.cmd_stop_i_2_n_0 ), + .I3(clk_en), + .I4(s00_axi_aresetn), + .I5(\bus_status_ctrl.cmd_stop_reg_n_0 ), + .O(\bus_status_ctrl.cmd_stop_i_1_n_0 )); + LUT2 #( + .INIT(4'hE)) + \bus_status_ctrl.cmd_stop_i_2 + (.I0(\statemachine.core_cmd_reg[3]_0 [2]), + .I1(\statemachine.core_cmd_reg[3]_0 [3]), + .O(\bus_status_ctrl.cmd_stop_i_2_n_0 )); + FDCE \bus_status_ctrl.cmd_stop_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.cmd_stop_i_1_n_0 ), + .Q(\bus_status_ctrl.cmd_stop_reg_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair8" *) + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.dSCL_i_1 + (.I0(sSCL), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.dSCL_i_1_n_0 )); + FDPE \bus_status_ctrl.dSCL_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(\bus_status_ctrl.dSCL_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(dSCL)); + (* SOFT_HLUTNM = "soft_lutpair10" *) + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.dSDA_i_1 + (.I0(sSDA), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.dSDA_i_1_n_0 )); + FDPE \bus_status_ctrl.dSDA_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(\bus_status_ctrl.dSDA_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(dSDA)); + LUT4 #( + .INIT(16'hFB08)) + \bus_status_ctrl.dout_i_1 + (.I0(sSDA), + .I1(sSCL), + .I2(dSCL), + .I3(core_rxd), + .O(\bus_status_ctrl.dout_i_1_n_0 )); + FDCE \bus_status_ctrl.dout_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.dout_i_1_n_0 ), + .Q(core_rxd)); + (* SOFT_HLUTNM = "soft_lutpair16" *) + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.fSCL[0]_i_1 + (.I0(p_0_in__1[0]), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.fSCL[0]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair16" *) + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.fSCL[1]_i_1 + (.I0(p_0_in__1[1]), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.fSCL[1]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair17" *) + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.fSCL[2]_i_1 + (.I0(p_0_in__1[2]), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.fSCL[2]_i_1_n_0 )); + FDPE \bus_status_ctrl.fSCL_reg[0] + (.C(s00_axi_aclk), + .CE(\bus_status_ctrl.fSDA[2]_i_1_n_0 ), + .D(\bus_status_ctrl.fSCL[0]_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(p_0_in__1[1])); + FDPE \bus_status_ctrl.fSCL_reg[1] + (.C(s00_axi_aclk), + .CE(\bus_status_ctrl.fSDA[2]_i_1_n_0 ), + .D(\bus_status_ctrl.fSCL[1]_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(p_0_in__1[2])); + FDPE \bus_status_ctrl.fSCL_reg[2] + (.C(s00_axi_aclk), + .CE(\bus_status_ctrl.fSDA[2]_i_1_n_0 ), + .D(\bus_status_ctrl.fSCL[2]_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(\bus_status_ctrl.fSCL_reg_n_0_[2] )); + (* SOFT_HLUTNM = "soft_lutpair18" *) + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.fSDA[0]_i_1 + (.I0(\bus_status_ctrl.cSDA_reg_n_0_[1] ), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.fSDA[0]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair18" *) + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.fSDA[1]_i_1 + (.I0(\bus_status_ctrl.fSDA_reg_n_0_[0] ), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.fSDA[1]_i_1_n_0 )); + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.fSDA[2]_i_1 + (.I0(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.fSDA[2]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair17" *) + LUT2 #( + .INIT(4'hB)) + \bus_status_ctrl.fSDA[2]_i_2 + (.I0(\bus_status_ctrl.fSDA_reg_n_0_[1] ), + .I1(s00_axi_aresetn), + .O(\bus_status_ctrl.fSDA[2]_i_2_n_0 )); + FDPE \bus_status_ctrl.fSDA_reg[0] + (.C(s00_axi_aclk), + .CE(\bus_status_ctrl.fSDA[2]_i_1_n_0 ), + .D(\bus_status_ctrl.fSDA[0]_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(\bus_status_ctrl.fSDA_reg_n_0_[0] )); + FDPE \bus_status_ctrl.fSDA_reg[1] + (.C(s00_axi_aclk), + .CE(\bus_status_ctrl.fSDA[2]_i_1_n_0 ), + .D(\bus_status_ctrl.fSDA[1]_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(\bus_status_ctrl.fSDA_reg_n_0_[1] )); + FDPE \bus_status_ctrl.fSDA_reg[2] + (.C(s00_axi_aclk), + .CE(\bus_status_ctrl.fSDA[2]_i_1_n_0 ), + .D(\bus_status_ctrl.fSDA[2]_i_2_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(\bus_status_ctrl.fSDA_reg_n_0_[2] )); + LUT5 #( + .INIT(32'hD1000000)) + \bus_status_ctrl.filter_cnt[0]_i_1 + (.I0(filter_cnt[0]), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[2]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[0]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[10]_i_1 + (.I0(minusOp_carry__1_n_6), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[12]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[10]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[11]_i_1 + (.I0(minusOp_carry__1_n_5), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[13]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[11]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[12]_i_1 + (.I0(minusOp_carry__1_n_4), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[14]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[12]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[13]_i_1 + (.I0(minusOp_carry__2_n_7), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[15]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[13]_i_1_n_0 )); + LUT6 #( + .INIT(64'h0000000000000001)) + \bus_status_ctrl.filter_cnt[13]_i_2 + (.I0(\bus_status_ctrl.filter_cnt[13]_i_3_n_0 ), + .I1(\bus_status_ctrl.filter_cnt[13]_i_4_n_0 ), + .I2(filter_cnt[6]), + .I3(filter_cnt[7]), + .I4(filter_cnt[4]), + .I5(filter_cnt[5]), + .O(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFFFFFFFFE)) + \bus_status_ctrl.filter_cnt[13]_i_3 + (.I0(filter_cnt[13]), + .I1(filter_cnt[12]), + .I2(filter_cnt[9]), + .I3(filter_cnt[8]), + .I4(filter_cnt[11]), + .I5(filter_cnt[10]), + .O(\bus_status_ctrl.filter_cnt[13]_i_3_n_0 )); + LUT4 #( + .INIT(16'hFFFE)) + \bus_status_ctrl.filter_cnt[13]_i_4 + (.I0(filter_cnt[2]), + .I1(filter_cnt[3]), + .I2(filter_cnt[0]), + .I3(filter_cnt[1]), + .O(\bus_status_ctrl.filter_cnt[13]_i_4_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[1]_i_1 + (.I0(minusOp_carry_n_7), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[3]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[1]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[2]_i_1 + (.I0(minusOp_carry_n_6), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[4]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[2]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[3]_i_1 + (.I0(minusOp_carry_n_5), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[5]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[3]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[4]_i_1 + (.I0(minusOp_carry_n_4), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[6]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[4]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[5]_i_1 + (.I0(minusOp_carry__0_n_7), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[7]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[5]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[6]_i_1 + (.I0(minusOp_carry__0_n_6), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[8]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[6]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[7]_i_1 + (.I0(minusOp_carry__0_n_5), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[9]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[7]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[8]_i_1 + (.I0(minusOp_carry__0_n_4), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[10]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[8]_i_1_n_0 )); + LUT5 #( + .INIT(32'hE2000000)) + \bus_status_ctrl.filter_cnt[9]_i_1 + (.I0(minusOp_carry__1_n_7), + .I1(\bus_status_ctrl.filter_cnt[13]_i_2_n_0 ), + .I2(Q[11]), + .I3(\ctr_reg[7] ), + .I4(s00_axi_aresetn), + .O(\bus_status_ctrl.filter_cnt[9]_i_1_n_0 )); + FDCE \bus_status_ctrl.filter_cnt_reg[0] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[0]_i_1_n_0 ), + .Q(filter_cnt[0])); + FDCE \bus_status_ctrl.filter_cnt_reg[10] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[10]_i_1_n_0 ), + .Q(filter_cnt[10])); + FDCE \bus_status_ctrl.filter_cnt_reg[11] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[11]_i_1_n_0 ), + .Q(filter_cnt[11])); + FDCE \bus_status_ctrl.filter_cnt_reg[12] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[12]_i_1_n_0 ), + .Q(filter_cnt[12])); + FDCE \bus_status_ctrl.filter_cnt_reg[13] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[13]_i_1_n_0 ), + .Q(filter_cnt[13])); + FDCE \bus_status_ctrl.filter_cnt_reg[1] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[1]_i_1_n_0 ), + .Q(filter_cnt[1])); + FDCE \bus_status_ctrl.filter_cnt_reg[2] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[2]_i_1_n_0 ), + .Q(filter_cnt[2])); + FDCE \bus_status_ctrl.filter_cnt_reg[3] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[3]_i_1_n_0 ), + .Q(filter_cnt[3])); + FDCE \bus_status_ctrl.filter_cnt_reg[4] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[4]_i_1_n_0 ), + .Q(filter_cnt[4])); + FDCE \bus_status_ctrl.filter_cnt_reg[5] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[5]_i_1_n_0 ), + .Q(filter_cnt[5])); + FDCE \bus_status_ctrl.filter_cnt_reg[6] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[6]_i_1_n_0 ), + .Q(filter_cnt[6])); + FDCE \bus_status_ctrl.filter_cnt_reg[7] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[7]_i_1_n_0 ), + .Q(filter_cnt[7])); + FDCE \bus_status_ctrl.filter_cnt_reg[8] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[8]_i_1_n_0 ), + .Q(filter_cnt[8])); + FDCE \bus_status_ctrl.filter_cnt_reg[9] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(\bus_status_ctrl.filter_cnt[9]_i_1_n_0 ), + .Q(filter_cnt[9])); + LUT6 #( + .INIT(64'h08000800AAAA0800)) + \bus_status_ctrl.ial_i_1 + (.I0(s00_axi_aresetn), + .I1(sda_chk_reg_n_0), + .I2(sSDA), + .I3(i2c_sda_t), + .I4(\bus_status_ctrl.ial_i_2_n_0 ), + .I5(\bus_status_ctrl.ial_i_3_n_0 ), + .O(ial)); + LUT2 #( + .INIT(4'h1)) + \bus_status_ctrl.ial_i_2 + (.I0(c_state[0]), + .I1(c_state[4]), + .O(\bus_status_ctrl.ial_i_2_n_0 )); + LUT5 #( + .INIT(32'hFFFFFFEF)) + \bus_status_ctrl.ial_i_3 + (.I0(c_state[2]), + .I1(c_state[3]), + .I2(\bus_status_ctrl.sto_condition_reg_n_0 ), + .I3(\bus_status_ctrl.cmd_stop_reg_n_0 ), + .I4(c_state[1]), + .O(\bus_status_ctrl.ial_i_3_n_0 )); + FDCE \bus_status_ctrl.ial_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(ial), + .Q(i2c_al)); + (* SOFT_HLUTNM = "soft_lutpair13" *) + LUT4 #( + .INIT(16'h5400)) + \bus_status_ctrl.ibusy_i_1 + (.I0(\bus_status_ctrl.sto_condition_reg_n_0 ), + .I1(\bus_status_ctrl.sta_condition_reg_n_0 ), + .I2(i2c_busy), + .I3(s00_axi_aresetn), + .O(ibusy)); + FDCE \bus_status_ctrl.ibusy_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(ibusy), + .Q(i2c_busy)); + (* SOFT_HLUTNM = "soft_lutpair10" *) + LUT4 #( + .INIT(16'hE8FF)) + \bus_status_ctrl.sSCL_i_1 + (.I0(p_0_in__1[2]), + .I1(\bus_status_ctrl.fSCL_reg_n_0_[2] ), + .I2(p_0_in__1[1]), + .I3(s00_axi_aresetn), + .O(\bus_status_ctrl.sSCL_i_1_n_0 )); + FDPE \bus_status_ctrl.sSCL_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(\bus_status_ctrl.sSCL_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(sSCL)); + LUT4 #( + .INIT(16'hE8FF)) + \bus_status_ctrl.sSDA_i_1 + (.I0(\bus_status_ctrl.fSDA_reg_n_0_[1] ), + .I1(\bus_status_ctrl.fSDA_reg_n_0_[2] ), + .I2(\bus_status_ctrl.fSDA_reg_n_0_[0] ), + .I3(s00_axi_aresetn), + .O(\bus_status_ctrl.sSDA_i_1_n_0 )); + FDPE \bus_status_ctrl.sSDA_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(\bus_status_ctrl.sSDA_i_1_n_0 ), + .PRE(iscl_oen_reg_0), + .Q(sSDA)); + (* SOFT_HLUTNM = "soft_lutpair12" *) + LUT4 #( + .INIT(16'h2000)) + \bus_status_ctrl.sta_condition_i_1 + (.I0(dSDA), + .I1(sSDA), + .I2(s00_axi_aresetn), + .I3(sSCL), + .O(sta_condition)); + FDCE \bus_status_ctrl.sta_condition_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(sta_condition), + .Q(\bus_status_ctrl.sta_condition_reg_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair12" *) + LUT4 #( + .INIT(16'h4000)) + \bus_status_ctrl.sto_condition_i_1 + (.I0(dSDA), + .I1(s00_axi_aresetn), + .I2(sSCL), + .I3(sSDA), + .O(sto_condition)); + FDCE \bus_status_ctrl.sto_condition_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(sto_condition), + .Q(\bus_status_ctrl.sto_condition_reg_n_0 )); + LUT5 #( + .INIT(32'hAAAAAAAB)) + clk_en_i_1 + (.I0(clk_en_i_2_n_0), + .I1(clk_en_i_3_n_0), + .I2(clk_en_i_4_n_0), + .I3(clk_en_i_5_n_0), + .I4(clk_en_i_6_n_0), + .O(cnt1)); + (* SOFT_HLUTNM = "soft_lutpair8" *) + LUT5 #( + .INIT(32'h7555FFFF)) + clk_en_i_2 + (.I0(\ctr_reg[7] ), + .I1(sSCL), + .I2(i2c_scl_t), + .I3(dSCL), + .I4(s00_axi_aresetn), + .O(clk_en_i_2_n_0)); + LUT4 #( + .INIT(16'hFFFE)) + clk_en_i_3 + (.I0(cnt_reg[6]), + .I1(cnt_reg[7]), + .I2(cnt_reg[4]), + .I3(cnt_reg[5]), + .O(clk_en_i_3_n_0)); + LUT4 #( + .INIT(16'hFFFE)) + clk_en_i_4 + (.I0(cnt_reg[2]), + .I1(cnt_reg[3]), + .I2(cnt_reg[0]), + .I3(cnt_reg[1]), + .O(clk_en_i_4_n_0)); + LUT4 #( + .INIT(16'hFFFE)) + clk_en_i_5 + (.I0(cnt_reg[15]), + .I1(cnt_reg[14]), + .I2(cnt_reg[12]), + .I3(cnt_reg[13]), + .O(clk_en_i_5_n_0)); + LUT4 #( + .INIT(16'hFFFE)) + clk_en_i_6 + (.I0(cnt_reg[10]), + .I1(cnt_reg[11]), + .I2(cnt_reg[8]), + .I3(cnt_reg[9]), + .O(clk_en_i_6_n_0)); + FDPE clk_en_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(cnt1), + .PRE(iscl_oen_reg_0), + .Q(clk_en)); + LUT6 #( + .INIT(64'h0008000000000000)) + cmd_ack_i_1 + (.I0(cmd_ack_i_2_n_0), + .I1(c_state[0]), + .I2(c_state[1]), + .I3(i2c_al), + .I4(s00_axi_aresetn), + .I5(clk_en), + .O(cmd_ack3_out)); + LUT3 #( + .INIT(8'h1E)) + cmd_ack_i_2 + (.I0(c_state[2]), + .I1(c_state[3]), + .I2(c_state[4]), + .O(cmd_ack_i_2_n_0)); + FDCE cmd_ack_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(cmd_ack3_out), + .Q(core_ack)); + LUT2 #( + .INIT(4'hB)) + \cnt[0]_i_1 + (.I0(cnt1), + .I1(slave_wait), + .O(\cnt[0]_i_1_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[0]_i_10 + (.I0(cnt_reg[0]), + .I1(Q[0]), + .I2(cnt1), + .O(\cnt[0]_i_10_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[0]_i_3 + (.I0(Q[3]), + .I1(cnt1), + .I2(cnt_reg[3]), + .O(\cnt[0]_i_3_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[0]_i_4 + (.I0(Q[2]), + .I1(cnt1), + .I2(cnt_reg[2]), + .O(\cnt[0]_i_4_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[0]_i_5 + (.I0(Q[1]), + .I1(cnt1), + .I2(cnt_reg[1]), + .O(\cnt[0]_i_5_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[0]_i_6 + (.I0(Q[0]), + .I1(cnt1), + .I2(cnt_reg[0]), + .O(\cnt[0]_i_6_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[0]_i_7 + (.I0(cnt_reg[3]), + .I1(Q[3]), + .I2(cnt1), + .O(\cnt[0]_i_7_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[0]_i_8 + (.I0(cnt_reg[2]), + .I1(Q[2]), + .I2(cnt1), + .O(\cnt[0]_i_8_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[0]_i_9 + (.I0(cnt_reg[1]), + .I1(Q[1]), + .I2(cnt1), + .O(\cnt[0]_i_9_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[12]_i_2 + (.I0(Q[14]), + .I1(cnt1), + .I2(cnt_reg[14]), + .O(\cnt[12]_i_2_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[12]_i_3 + (.I0(Q[13]), + .I1(cnt1), + .I2(cnt_reg[13]), + .O(\cnt[12]_i_3_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[12]_i_4 + (.I0(Q[12]), + .I1(cnt1), + .I2(cnt_reg[12]), + .O(\cnt[12]_i_4_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[12]_i_5 + (.I0(cnt_reg[15]), + .I1(Q[15]), + .I2(cnt1), + .O(\cnt[12]_i_5_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[12]_i_6 + (.I0(cnt_reg[14]), + .I1(Q[14]), + .I2(cnt1), + .O(\cnt[12]_i_6_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[12]_i_7 + (.I0(cnt_reg[13]), + .I1(Q[13]), + .I2(cnt1), + .O(\cnt[12]_i_7_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[12]_i_8 + (.I0(cnt_reg[12]), + .I1(Q[12]), + .I2(cnt1), + .O(\cnt[12]_i_8_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[4]_i_2 + (.I0(Q[7]), + .I1(cnt1), + .I2(cnt_reg[7]), + .O(\cnt[4]_i_2_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[4]_i_3 + (.I0(Q[6]), + .I1(cnt1), + .I2(cnt_reg[6]), + .O(\cnt[4]_i_3_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[4]_i_4 + (.I0(Q[5]), + .I1(cnt1), + .I2(cnt_reg[5]), + .O(\cnt[4]_i_4_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[4]_i_5 + (.I0(Q[4]), + .I1(cnt1), + .I2(cnt_reg[4]), + .O(\cnt[4]_i_5_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[4]_i_6 + (.I0(cnt_reg[7]), + .I1(Q[7]), + .I2(cnt1), + .O(\cnt[4]_i_6_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[4]_i_7 + (.I0(cnt_reg[6]), + .I1(Q[6]), + .I2(cnt1), + .O(\cnt[4]_i_7_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[4]_i_8 + (.I0(cnt_reg[5]), + .I1(Q[5]), + .I2(cnt1), + .O(\cnt[4]_i_8_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[4]_i_9 + (.I0(cnt_reg[4]), + .I1(Q[4]), + .I2(cnt1), + .O(\cnt[4]_i_9_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[8]_i_2 + (.I0(Q[11]), + .I1(cnt1), + .I2(cnt_reg[11]), + .O(\cnt[8]_i_2_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[8]_i_3 + (.I0(Q[10]), + .I1(cnt1), + .I2(cnt_reg[10]), + .O(\cnt[8]_i_3_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[8]_i_4 + (.I0(Q[9]), + .I1(cnt1), + .I2(cnt_reg[9]), + .O(\cnt[8]_i_4_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \cnt[8]_i_5 + (.I0(Q[8]), + .I1(cnt1), + .I2(cnt_reg[8]), + .O(\cnt[8]_i_5_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[8]_i_6 + (.I0(cnt_reg[11]), + .I1(Q[11]), + .I2(cnt1), + .O(\cnt[8]_i_6_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[8]_i_7 + (.I0(cnt_reg[10]), + .I1(Q[10]), + .I2(cnt1), + .O(\cnt[8]_i_7_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[8]_i_8 + (.I0(cnt_reg[9]), + .I1(Q[9]), + .I2(cnt1), + .O(\cnt[8]_i_8_n_0 )); + LUT3 #( + .INIT(8'hC5)) + \cnt[8]_i_9 + (.I0(cnt_reg[8]), + .I1(Q[8]), + .I2(cnt1), + .O(\cnt[8]_i_9_n_0 )); + FDCE \cnt_reg[0] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[0]_i_2_n_7 ), + .Q(cnt_reg[0])); + CARRY4 \cnt_reg[0]_i_2 + (.CI(1'b0), + .CO({\cnt_reg[0]_i_2_n_0 ,\cnt_reg[0]_i_2_n_1 ,\cnt_reg[0]_i_2_n_2 ,\cnt_reg[0]_i_2_n_3 }), + .CYINIT(1'b0), + .DI({\cnt[0]_i_3_n_0 ,\cnt[0]_i_4_n_0 ,\cnt[0]_i_5_n_0 ,\cnt[0]_i_6_n_0 }), + .O({\cnt_reg[0]_i_2_n_4 ,\cnt_reg[0]_i_2_n_5 ,\cnt_reg[0]_i_2_n_6 ,\cnt_reg[0]_i_2_n_7 }), + .S({\cnt[0]_i_7_n_0 ,\cnt[0]_i_8_n_0 ,\cnt[0]_i_9_n_0 ,\cnt[0]_i_10_n_0 })); + FDCE \cnt_reg[10] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[8]_i_1_n_5 ), + .Q(cnt_reg[10])); + FDCE \cnt_reg[11] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[8]_i_1_n_4 ), + .Q(cnt_reg[11])); + FDCE \cnt_reg[12] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[12]_i_1_n_7 ), + .Q(cnt_reg[12])); + CARRY4 \cnt_reg[12]_i_1 + (.CI(\cnt_reg[8]_i_1_n_0 ), + .CO({\NLW_cnt_reg[12]_i_1_CO_UNCONNECTED [3],\cnt_reg[12]_i_1_n_1 ,\cnt_reg[12]_i_1_n_2 ,\cnt_reg[12]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,\cnt[12]_i_2_n_0 ,\cnt[12]_i_3_n_0 ,\cnt[12]_i_4_n_0 }), + .O({\cnt_reg[12]_i_1_n_4 ,\cnt_reg[12]_i_1_n_5 ,\cnt_reg[12]_i_1_n_6 ,\cnt_reg[12]_i_1_n_7 }), + .S({\cnt[12]_i_5_n_0 ,\cnt[12]_i_6_n_0 ,\cnt[12]_i_7_n_0 ,\cnt[12]_i_8_n_0 })); + FDCE \cnt_reg[13] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[12]_i_1_n_6 ), + .Q(cnt_reg[13])); + FDCE \cnt_reg[14] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[12]_i_1_n_5 ), + .Q(cnt_reg[14])); + FDCE \cnt_reg[15] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[12]_i_1_n_4 ), + .Q(cnt_reg[15])); + FDCE \cnt_reg[1] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[0]_i_2_n_6 ), + .Q(cnt_reg[1])); + FDCE \cnt_reg[2] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[0]_i_2_n_5 ), + .Q(cnt_reg[2])); + FDCE \cnt_reg[3] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[0]_i_2_n_4 ), + .Q(cnt_reg[3])); + FDCE \cnt_reg[4] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[4]_i_1_n_7 ), + .Q(cnt_reg[4])); + CARRY4 \cnt_reg[4]_i_1 + (.CI(\cnt_reg[0]_i_2_n_0 ), + .CO({\cnt_reg[4]_i_1_n_0 ,\cnt_reg[4]_i_1_n_1 ,\cnt_reg[4]_i_1_n_2 ,\cnt_reg[4]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({\cnt[4]_i_2_n_0 ,\cnt[4]_i_3_n_0 ,\cnt[4]_i_4_n_0 ,\cnt[4]_i_5_n_0 }), + .O({\cnt_reg[4]_i_1_n_4 ,\cnt_reg[4]_i_1_n_5 ,\cnt_reg[4]_i_1_n_6 ,\cnt_reg[4]_i_1_n_7 }), + .S({\cnt[4]_i_6_n_0 ,\cnt[4]_i_7_n_0 ,\cnt[4]_i_8_n_0 ,\cnt[4]_i_9_n_0 })); + FDCE \cnt_reg[5] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[4]_i_1_n_6 ), + .Q(cnt_reg[5])); + FDCE \cnt_reg[6] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[4]_i_1_n_5 ), + .Q(cnt_reg[6])); + FDCE \cnt_reg[7] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[4]_i_1_n_4 ), + .Q(cnt_reg[7])); + FDCE \cnt_reg[8] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[8]_i_1_n_7 ), + .Q(cnt_reg[8])); + CARRY4 \cnt_reg[8]_i_1 + (.CI(\cnt_reg[4]_i_1_n_0 ), + .CO({\cnt_reg[8]_i_1_n_0 ,\cnt_reg[8]_i_1_n_1 ,\cnt_reg[8]_i_1_n_2 ,\cnt_reg[8]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({\cnt[8]_i_2_n_0 ,\cnt[8]_i_3_n_0 ,\cnt[8]_i_4_n_0 ,\cnt[8]_i_5_n_0 }), + .O({\cnt_reg[8]_i_1_n_4 ,\cnt_reg[8]_i_1_n_5 ,\cnt_reg[8]_i_1_n_6 ,\cnt_reg[8]_i_1_n_7 }), + .S({\cnt[8]_i_6_n_0 ,\cnt[8]_i_7_n_0 ,\cnt[8]_i_8_n_0 ,\cnt[8]_i_9_n_0 })); + FDCE \cnt_reg[9] + (.C(s00_axi_aclk), + .CE(\cnt[0]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(\cnt_reg[8]_i_1_n_6 ), + .Q(cnt_reg[9])); + LUT6 #( + .INIT(64'h55FDFDFDFFFFFFFF)) + \cr[7]_i_1 + (.I0(s00_axi_aresetn), + .I1(i2c_al), + .I2(cmd_ack), + .I3(iack_o_reg), + .I4(wb_we_o), + .I5(iack_o_reg_0), + .O(\cr_reg[4] )); + FDCE dscl_oen_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(i2c_scl_t), + .Q(dscl_oen)); + LUT5 #( + .INIT(32'hFBFFFBF3)) + iscl_oen_i_1 + (.I0(iscl_oen), + .I1(s00_axi_aresetn), + .I2(i2c_al), + .I3(iscl_oen9_out__0), + .I4(i2c_scl_t), + .O(iscl_oen_i_1_n_0)); + LUT1 #( + .INIT(2'h1)) + iscl_oen_i_2 + (.I0(s00_axi_aresetn), + .O(iscl_oen_reg_0)); + LUT5 #( + .INIT(32'h00F3011F)) + iscl_oen_i_3 + (.I0(c_state[3]), + .I1(c_state[2]), + .I2(c_state[1]), + .I3(c_state[4]), + .I4(c_state[0]), + .O(iscl_oen)); + LUT5 #( + .INIT(32'h55560000)) + iscl_oen_i_4 + (.I0(c_state[4]), + .I1(c_state[3]), + .I2(c_state[2]), + .I3(c_state[1]), + .I4(clk_en), + .O(iscl_oen9_out__0)); + FDPE iscl_oen_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(iscl_oen_i_1_n_0), + .PRE(iscl_oen_reg_0), + .Q(i2c_scl_t)); + LUT5 #( + .INIT(32'hFBFFFBF3)) + isda_oen_i_1 + (.I0(isda_oen), + .I1(s00_axi_aresetn), + .I2(i2c_al), + .I3(isda_oen7_out__0), + .I4(i2c_sda_t), + .O(isda_oen_i_1_n_0)); + LUT6 #( + .INIT(64'h0000C8CB03038F83)) + isda_oen_i_2 + (.I0(\statemachine.core_txd_reg_0 ), + .I1(c_state[3]), + .I2(c_state[2]), + .I3(c_state[0]), + .I4(c_state[4]), + .I5(c_state[1]), + .O(isda_oen)); + LUT6 #( + .INIT(64'h0F0F1F1E00000000)) + isda_oen_i_3 + (.I0(c_state[1]), + .I1(c_state[2]), + .I2(c_state[4]), + .I3(c_state[0]), + .I4(c_state[3]), + .I5(clk_en), + .O(isda_oen7_out__0)); + FDPE isda_oen_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(isda_oen_i_1_n_0), + .PRE(iscl_oen_reg_0), + .Q(i2c_sda_t)); + CARRY4 minusOp_carry + (.CI(1'b0), + .CO({minusOp_carry_n_0,minusOp_carry_n_1,minusOp_carry_n_2,minusOp_carry_n_3}), + .CYINIT(filter_cnt[0]), + .DI(filter_cnt[4:1]), + .O({minusOp_carry_n_4,minusOp_carry_n_5,minusOp_carry_n_6,minusOp_carry_n_7}), + .S({minusOp_carry_i_1_n_0,minusOp_carry_i_2_n_0,minusOp_carry_i_3_n_0,minusOp_carry_i_4_n_0})); + CARRY4 minusOp_carry__0 + (.CI(minusOp_carry_n_0), + .CO({minusOp_carry__0_n_0,minusOp_carry__0_n_1,minusOp_carry__0_n_2,minusOp_carry__0_n_3}), + .CYINIT(1'b0), + .DI(filter_cnt[8:5]), + .O({minusOp_carry__0_n_4,minusOp_carry__0_n_5,minusOp_carry__0_n_6,minusOp_carry__0_n_7}), + .S({minusOp_carry__0_i_1_n_0,minusOp_carry__0_i_2_n_0,minusOp_carry__0_i_3_n_0,minusOp_carry__0_i_4_n_0})); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__0_i_1 + (.I0(filter_cnt[8]), + .O(minusOp_carry__0_i_1_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__0_i_2 + (.I0(filter_cnt[7]), + .O(minusOp_carry__0_i_2_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__0_i_3 + (.I0(filter_cnt[6]), + .O(minusOp_carry__0_i_3_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__0_i_4 + (.I0(filter_cnt[5]), + .O(minusOp_carry__0_i_4_n_0)); + CARRY4 minusOp_carry__1 + (.CI(minusOp_carry__0_n_0), + .CO({minusOp_carry__1_n_0,minusOp_carry__1_n_1,minusOp_carry__1_n_2,minusOp_carry__1_n_3}), + .CYINIT(1'b0), + .DI(filter_cnt[12:9]), + .O({minusOp_carry__1_n_4,minusOp_carry__1_n_5,minusOp_carry__1_n_6,minusOp_carry__1_n_7}), + .S({minusOp_carry__1_i_1_n_0,minusOp_carry__1_i_2_n_0,minusOp_carry__1_i_3_n_0,minusOp_carry__1_i_4_n_0})); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__1_i_1 + (.I0(filter_cnt[12]), + .O(minusOp_carry__1_i_1_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__1_i_2 + (.I0(filter_cnt[11]), + .O(minusOp_carry__1_i_2_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__1_i_3 + (.I0(filter_cnt[10]), + .O(minusOp_carry__1_i_3_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__1_i_4 + (.I0(filter_cnt[9]), + .O(minusOp_carry__1_i_4_n_0)); + CARRY4 minusOp_carry__2 + (.CI(minusOp_carry__1_n_0), + .CO(NLW_minusOp_carry__2_CO_UNCONNECTED[3:0]), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({NLW_minusOp_carry__2_O_UNCONNECTED[3:1],minusOp_carry__2_n_7}), + .S({1'b0,1'b0,1'b0,minusOp_carry__2_i_1_n_0})); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__2_i_1 + (.I0(filter_cnt[13]), + .O(minusOp_carry__2_i_1_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry_i_1 + (.I0(filter_cnt[4]), + .O(minusOp_carry_i_1_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry_i_2 + (.I0(filter_cnt[3]), + .O(minusOp_carry_i_2_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry_i_3 + (.I0(filter_cnt[2]), + .O(minusOp_carry_i_3_n_0)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry_i_4 + (.I0(filter_cnt[1]), + .O(minusOp_carry_i_4_n_0)); + LUT6 #( + .INIT(64'h0000000000100000)) + sda_chk_i_1 + (.I0(c_state[4]), + .I1(c_state[1]), + .I2(c_state[3]), + .I3(c_state[0]), + .I4(c_state[2]), + .I5(\FSM_sequential_c_state[4]_i_3_n_0 ), + .O(sda_chk_i_1_n_0)); + FDCE sda_chk_reg + (.C(s00_axi_aclk), + .CE(\FSM_sequential_c_state[4]_i_1_n_0 ), + .CLR(iscl_oen_reg_0), + .D(sda_chk_i_1_n_0), + .Q(sda_chk_reg_n_0)); + LUT4 #( + .INIT(16'h0F04)) + slave_wait_i_1 + (.I0(dscl_oen), + .I1(i2c_scl_t), + .I2(sSCL), + .I3(slave_wait), + .O(slave_wait0)); + FDCE slave_wait_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg_0), + .D(slave_wait0), + .Q(slave_wait)); + (* SOFT_HLUTNM = "soft_lutpair15" *) + LUT4 #( + .INIT(16'hE400)) + \sr[0]_i_1 + (.I0(\statemachine.ld_reg_0 ), + .I1(core_rxd), + .I2(\txr_reg[6] [0]), + .I3(s00_axi_aresetn), + .O(\sr_reg[0] )); + (* SOFT_HLUTNM = "soft_lutpair14" *) + LUT4 #( + .INIT(16'hAA08)) + \st_irq_block.al_i_1 + (.I0(s00_axi_aresetn), + .I1(\st_irq_block.al_reg ), + .I2(\cr_reg[7] [3]), + .I3(i2c_al), + .O(al)); + (* SOFT_HLUTNM = "soft_lutpair9" *) + LUT5 #( + .INIT(32'h55540000)) + \st_irq_block.irq_flag_i_1 + (.I0(\cr_reg[0] ), + .I1(i2c_al), + .I2(cmd_ack), + .I3(irq_flag), + .I4(s00_axi_aresetn), + .O(irq_flag1_out)); + LUT5 #( + .INIT(32'h08FF0800)) + \statemachine.ack_out_i_1 + (.I0(core_rxd), + .I1(s00_axi_aresetn), + .I2(i2c_al), + .I3(\statemachine.ack_out_i_2_n_0 ), + .I4(ack_out), + .O(\statemachine.ack_out_reg )); + LUT6 #( + .INIT(64'hDDDDDDDDDDFDDDDD)) + \statemachine.ack_out_i_2 + (.I0(s00_axi_aresetn), + .I1(i2c_al), + .I2(out[2]), + .I3(out[0]), + .I4(core_ack), + .I5(out[1]), + .O(\statemachine.ack_out_i_2_n_0 )); + LUT6 #( + .INIT(64'h0000000000100000)) + \statemachine.core_cmd[0]_i_1 + (.I0(out[2]), + .I1(out[0]), + .I2(\cr_reg[7] [3]), + .I3(out[1]), + .I4(s00_axi_aresetn), + .I5(i2c_al), + .O(\statemachine.core_cmd_reg[3] [0])); + LUT6 #( + .INIT(64'h0000000022222E22)) + \statemachine.core_cmd[1]_i_1 + (.I0(\FSM_sequential_statemachine.c_state_reg[1]_0 ), + .I1(out[2]), + .I2(out[1]), + .I3(\cr_reg[7] [2]), + .I4(out[0]), + .I5(\FSM_sequential_c_state[4]_i_3_n_0 ), + .O(\statemachine.core_cmd_reg[3] [1])); + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT3 #( + .INIT(8'h08)) + \statemachine.core_cmd[2]_i_1 + (.I0(core_cmd), + .I1(s00_axi_aresetn), + .I2(i2c_al), + .O(\statemachine.core_cmd_reg[3] [2])); + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT4 #( + .INIT(16'h0040)) + \statemachine.core_cmd[3]_i_1 + (.I0(out[2]), + .I1(\FSM_sequential_statemachine.c_state_reg[1] ), + .I2(s00_axi_aresetn), + .I3(i2c_al), + .O(\statemachine.core_cmd_reg[3] [3])); + (* SOFT_HLUTNM = "soft_lutpair14" *) + LUT3 #( + .INIT(8'h08)) + \statemachine.core_txd_i_1 + (.I0(core_txd), + .I1(s00_axi_aresetn), + .I2(i2c_al), + .O(\statemachine.core_txd_reg )); + LUT6 #( + .INIT(64'h5455FFFD10002220)) + \statemachine.core_txd_i_2 + (.I0(out[2]), + .I1(out[0]), + .I2(ack_in), + .I3(core_ack), + .I4(out[1]), + .I5(\sr_reg[7] ), + .O(core_txd)); + LUT6 #( + .INIT(64'h000000000000A020)) + \statemachine.host_ack_i_1 + (.I0(out[2]), + .I1(\cr_reg[7] [2]), + .I2(core_ack), + .I3(out[0]), + .I4(out[1]), + .I5(\FSM_sequential_c_state[4]_i_3_n_0 ), + .O(\statemachine.host_ack_reg )); + LUT5 #( + .INIT(32'h00000400)) + \statemachine.ld_i_1 + (.I0(out[2]), + .I1(\FSM_sequential_statemachine.c_state[2]_i_3_n_0 ), + .I2(out[1]), + .I3(s00_axi_aresetn), + .I4(i2c_al), + .O(\statemachine.ld_reg )); + LUT6 #( + .INIT(64'h0000000004440000)) + \statemachine.shift_i_1 + (.I0(out[2]), + .I1(core_ack), + .I2(out[0]), + .I3(cnt_done), + .I4(out[1]), + .I5(\FSM_sequential_c_state[4]_i_3_n_0 ), + .O(\statemachine.shift_reg )); + LUT5 #( + .INIT(32'h30BB3088)) + \wb_dat_o[6]_i_3 + (.I0(\cr_reg[7] [2]), + .I1(wb_adr_o[1]), + .I2(\txr_reg[6] [1]), + .I3(wb_adr_o[0]), + .I4(i2c_busy), + .O(\wb_dat_o[6]_i_3_n_0 )); + MUXF7 \wb_dat_o_reg[6]_i_1 + (.I0(\sr_reg[6] ), + .I1(\wb_dat_o[6]_i_3_n_0 ), + .O(D), + .S(wb_adr_o[2])); +endmodule + +(* ORIG_REF_NAME = "i2c_master_byte_ctrl" *) +module system_design_axi_wb_i2c_master_1_0_i2c_master_byte_ctrl + (iscl_oen_reg, + i2c_scl_t, + i2c_sda_t, + irq_flag1_out, + rxack_0, + al, + D, + E, + s00_axi_aclk, + s00_axi_aresetn, + \cr_reg[0] , + irq_flag, + Q, + \ctr_reg[7] , + i2c_sda_i, + i2c_scl_i, + \st_irq_block.al_reg , + \cr_reg[7] , + wb_adr_o, + \cr_reg[0]_0 , + \cr_reg[1] , + \cr_reg[2] , + \txr_reg[7] , + ack_in, + \cr_reg[5] , + \cr_reg[7]_0 , + iack_o_reg, + wb_we_o, + iack_o_reg_0); + output iscl_oen_reg; + output i2c_scl_t; + output i2c_sda_t; + output irq_flag1_out; + output rxack_0; + output al; + output [7:0]D; + output [0:0]E; + input s00_axi_aclk; + input s00_axi_aresetn; + input \cr_reg[0] ; + input irq_flag; + input [15:0]Q; + input [7:0]\ctr_reg[7] ; + input i2c_sda_i; + input i2c_scl_i; + input \st_irq_block.al_reg ; + input [3:0]\cr_reg[7] ; + input [2:0]wb_adr_o; + input \cr_reg[0]_0 ; + input \cr_reg[1] ; + input \cr_reg[2] ; + input [7:0]\txr_reg[7] ; + input ack_in; + input \cr_reg[5] ; + input \cr_reg[7]_0 ; + input iack_o_reg; + input wb_we_o; + input iack_o_reg_0; + + wire [7:0]D; + wire [0:0]E; + wire \FSM_sequential_statemachine.c_state[0]_i_2_n_0 ; + wire \FSM_sequential_statemachine.c_state[1]_i_3_n_0 ; + wire \FSM_sequential_statemachine.c_state[2]_i_4_n_0 ; + wire [15:0]Q; + wire ack_in; + wire ack_out; + wire al; + wire bit_ctrl_n_10; + wire bit_ctrl_n_11; + wire bit_ctrl_n_12; + wire bit_ctrl_n_13; + wire bit_ctrl_n_14; + wire bit_ctrl_n_15; + wire bit_ctrl_n_17; + wire bit_ctrl_n_18; + wire bit_ctrl_n_19; + wire bit_ctrl_n_20; + wire bit_ctrl_n_7; + wire bit_ctrl_n_8; + wire bit_ctrl_n_9; + wire c_state; + (* RTL_KEEP = "yes" *) wire [2:0]c_state__0; + wire [3:0]cmd; + wire cmd_ack; + wire cnt_done; + wire [2:2]core_cmd; + wire \cr_reg[0] ; + wire \cr_reg[0]_0 ; + wire \cr_reg[1] ; + wire \cr_reg[2] ; + wire \cr_reg[5] ; + wire [3:0]\cr_reg[7] ; + wire \cr_reg[7]_0 ; + wire [7:0]\ctr_reg[7] ; + wire dcnt; + wire \dcnt[0]_i_1_n_0 ; + wire \dcnt[1]_i_1_n_0 ; + wire \dcnt[2]_i_1_n_0 ; + wire \dcnt_reg_n_0_[0] ; + wire \dcnt_reg_n_0_[1] ; + wire \dcnt_reg_n_0_[2] ; + wire [7:7]dout; + wire i2c_scl_i; + wire i2c_scl_t; + wire i2c_sda_i; + wire i2c_sda_t; + wire iack_o_reg; + wire iack_o_reg_0; + wire irq_flag; + wire irq_flag1_out; + wire iscl_oen_reg; + wire rxack_0; + wire s00_axi_aclk; + wire s00_axi_aresetn; + wire \sr[1]_i_1_n_0 ; + wire \sr[2]_i_1_n_0 ; + wire \sr[3]_i_1_n_0 ; + wire \sr[4]_i_1_n_0 ; + wire \sr[5]_i_1_n_0 ; + wire \sr[6]_i_1_n_0 ; + wire \sr[7]_i_2_n_0 ; + wire \sr_reg_n_0_[0] ; + wire \sr_reg_n_0_[1] ; + wire \sr_reg_n_0_[2] ; + wire \sr_reg_n_0_[3] ; + wire \sr_reg_n_0_[4] ; + wire \sr_reg_n_0_[5] ; + wire \sr_reg_n_0_[6] ; + wire \st_irq_block.al_reg ; + wire \statemachine.core_cmd[1]_i_2_n_0 ; + wire \statemachine.core_cmd[3]_i_2_n_0 ; + wire \statemachine.core_txd_reg_n_0 ; + wire \statemachine.ld_reg_n_0 ; + wire \statemachine.shift_reg_n_0 ; + wire [7:0]\txr_reg[7] ; + wire [2:0]wb_adr_o; + wire \wb_dat_o[0]_i_2_n_0 ; + wire \wb_dat_o[1]_i_2_n_0 ; + wire \wb_dat_o[2]_i_2_n_0 ; + wire \wb_dat_o[3]_i_2_n_0 ; + wire \wb_dat_o[4]_i_2_n_0 ; + wire \wb_dat_o[5]_i_2_n_0 ; + wire \wb_dat_o[6]_i_2_n_0 ; + wire \wb_dat_o[7]_i_2_n_0 ; + wire wb_we_o; + + LUT5 #( + .INIT(32'h43407373)) + \FSM_sequential_statemachine.c_state[0]_i_2 + (.I0(cnt_done), + .I1(c_state__0[1]), + .I2(c_state__0[0]), + .I3(\cr_reg[7] [3]), + .I4(\cr_reg[7] [1]), + .O(\FSM_sequential_statemachine.c_state[0]_i_2_n_0 )); + LUT3 #( + .INIT(8'h01)) + \FSM_sequential_statemachine.c_state[1]_i_2 + (.I0(\dcnt_reg_n_0_[1] ), + .I1(\dcnt_reg_n_0_[0] ), + .I2(\dcnt_reg_n_0_[2] ), + .O(cnt_done)); + LUT4 #( + .INIT(16'hFF54)) + \FSM_sequential_statemachine.c_state[1]_i_3 + (.I0(\cr_reg[7] [3]), + .I1(\cr_reg[7] [1]), + .I2(\cr_reg[7] [0]), + .I3(c_state__0[0]), + .O(\FSM_sequential_statemachine.c_state[1]_i_3_n_0 )); + LUT6 #( + .INIT(64'h888888888888888B)) + \FSM_sequential_statemachine.c_state[2]_i_4 + (.I0(cnt_done), + .I1(c_state__0[1]), + .I2(\cr_reg[7] [3]), + .I3(\cr_reg[7] [0]), + .I4(\cr_reg[7] [1]), + .I5(c_state__0[0]), + .O(\FSM_sequential_statemachine.c_state[2]_i_4_n_0 )); + (* KEEP = "yes" *) + FDCE \FSM_sequential_statemachine.c_state_reg[0] + (.C(s00_axi_aclk), + .CE(c_state), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_20), + .Q(c_state__0[0])); + (* KEEP = "yes" *) + FDCE \FSM_sequential_statemachine.c_state_reg[1] + (.C(s00_axi_aclk), + .CE(c_state), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_19), + .Q(c_state__0[1])); + (* KEEP = "yes" *) + FDCE \FSM_sequential_statemachine.c_state_reg[2] + (.C(s00_axi_aclk), + .CE(c_state), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_18), + .Q(c_state__0[2])); + system_design_axi_wb_i2c_master_1_0_i2c_master_bit_ctrl bit_ctrl + (.D(D[6]), + .E(c_state), + .\FSM_sequential_statemachine.c_state_reg[1] (\statemachine.core_cmd[3]_i_2_n_0 ), + .\FSM_sequential_statemachine.c_state_reg[1]_0 (\statemachine.core_cmd[1]_i_2_n_0 ), + .\FSM_sequential_statemachine.c_state_reg[1]_1 (\FSM_sequential_statemachine.c_state[0]_i_2_n_0 ), + .\FSM_sequential_statemachine.c_state_reg[1]_2 (\FSM_sequential_statemachine.c_state[2]_i_4_n_0 ), + .\FSM_sequential_statemachine.c_state_reg[2] ({bit_ctrl_n_18,bit_ctrl_n_19,bit_ctrl_n_20}), + .Q(Q), + .ack_in(ack_in), + .ack_out(ack_out), + .al(al), + .cmd_ack(cmd_ack), + .cnt_done(cnt_done), + .core_cmd(core_cmd), + .\cr_reg[0] (\cr_reg[0] ), + .\cr_reg[4] (E), + .\cr_reg[7] (\cr_reg[7] ), + .\cr_reg[7]_0 (\FSM_sequential_statemachine.c_state[1]_i_3_n_0 ), + .\ctr_reg[7] (\ctr_reg[7] [7]), + .i2c_scl_i(i2c_scl_i), + .i2c_scl_t(i2c_scl_t), + .i2c_sda_i(i2c_sda_i), + .i2c_sda_t(i2c_sda_t), + .iack_o_reg(iack_o_reg), + .iack_o_reg_0(iack_o_reg_0), + .irq_flag(irq_flag), + .irq_flag1_out(irq_flag1_out), + .iscl_oen_reg_0(iscl_oen_reg), + .out(c_state__0), + .s00_axi_aclk(s00_axi_aclk), + .s00_axi_aresetn(s00_axi_aresetn), + .\sr_reg[0] (bit_ctrl_n_17), + .\sr_reg[6] (\wb_dat_o[6]_i_2_n_0 ), + .\sr_reg[7] (dout), + .\st_irq_block.al_reg (\st_irq_block.al_reg ), + .\statemachine.ack_out_reg (bit_ctrl_n_15), + .\statemachine.core_cmd_reg[3] ({bit_ctrl_n_7,bit_ctrl_n_8,bit_ctrl_n_9,bit_ctrl_n_10}), + .\statemachine.core_cmd_reg[3]_0 (cmd), + .\statemachine.core_txd_reg (bit_ctrl_n_12), + .\statemachine.core_txd_reg_0 (\statemachine.core_txd_reg_n_0 ), + .\statemachine.host_ack_reg (bit_ctrl_n_14), + .\statemachine.ld_reg (bit_ctrl_n_11), + .\statemachine.ld_reg_0 (\statemachine.ld_reg_n_0 ), + .\statemachine.shift_reg (bit_ctrl_n_13), + .\txr_reg[6] ({\txr_reg[7] [6],\txr_reg[7] [0]}), + .wb_adr_o(wb_adr_o), + .wb_we_o(wb_we_o)); + (* SOFT_HLUTNM = "soft_lutpair21" *) + LUT3 #( + .INIT(8'h8A)) + \dcnt[0]_i_1 + (.I0(s00_axi_aresetn), + .I1(\statemachine.ld_reg_n_0 ), + .I2(\dcnt_reg_n_0_[0] ), + .O(\dcnt[0]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair20" *) + LUT4 #( + .INIT(16'hA88A)) + \dcnt[1]_i_1 + (.I0(s00_axi_aresetn), + .I1(\statemachine.ld_reg_n_0 ), + .I2(\dcnt_reg_n_0_[0] ), + .I3(\dcnt_reg_n_0_[1] ), + .O(\dcnt[1]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair20" *) + LUT5 #( + .INIT(32'hAAA8888A)) + \dcnt[2]_i_1 + (.I0(s00_axi_aresetn), + .I1(\statemachine.ld_reg_n_0 ), + .I2(\dcnt_reg_n_0_[1] ), + .I3(\dcnt_reg_n_0_[0] ), + .I4(\dcnt_reg_n_0_[2] ), + .O(\dcnt[2]_i_1_n_0 )); + FDCE \dcnt_reg[0] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\dcnt[0]_i_1_n_0 ), + .Q(\dcnt_reg_n_0_[0] )); + FDCE \dcnt_reg[1] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\dcnt[1]_i_1_n_0 ), + .Q(\dcnt_reg_n_0_[1] )); + FDCE \dcnt_reg[2] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\dcnt[2]_i_1_n_0 ), + .Q(\dcnt_reg_n_0_[2] )); + (* SOFT_HLUTNM = "soft_lutpair22" *) + LUT4 #( + .INIT(16'hE400)) + \sr[1]_i_1 + (.I0(\statemachine.ld_reg_n_0 ), + .I1(\sr_reg_n_0_[0] ), + .I2(\txr_reg[7] [1]), + .I3(s00_axi_aresetn), + .O(\sr[1]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair21" *) + LUT4 #( + .INIT(16'hE400)) + \sr[2]_i_1 + (.I0(\statemachine.ld_reg_n_0 ), + .I1(\sr_reg_n_0_[1] ), + .I2(\txr_reg[7] [2]), + .I3(s00_axi_aresetn), + .O(\sr[2]_i_1_n_0 )); + LUT4 #( + .INIT(16'hE400)) + \sr[3]_i_1 + (.I0(\statemachine.ld_reg_n_0 ), + .I1(\sr_reg_n_0_[2] ), + .I2(\txr_reg[7] [3]), + .I3(s00_axi_aresetn), + .O(\sr[3]_i_1_n_0 )); + LUT4 #( + .INIT(16'hE400)) + \sr[4]_i_1 + (.I0(\statemachine.ld_reg_n_0 ), + .I1(\sr_reg_n_0_[3] ), + .I2(\txr_reg[7] [4]), + .I3(s00_axi_aresetn), + .O(\sr[4]_i_1_n_0 )); + LUT4 #( + .INIT(16'hE400)) + \sr[5]_i_1 + (.I0(\statemachine.ld_reg_n_0 ), + .I1(\sr_reg_n_0_[4] ), + .I2(\txr_reg[7] [5]), + .I3(s00_axi_aresetn), + .O(\sr[5]_i_1_n_0 )); + LUT4 #( + .INIT(16'hE400)) + \sr[6]_i_1 + (.I0(\statemachine.ld_reg_n_0 ), + .I1(\sr_reg_n_0_[5] ), + .I2(\txr_reg[7] [6]), + .I3(s00_axi_aresetn), + .O(\sr[6]_i_1_n_0 )); + LUT3 #( + .INIT(8'hFB)) + \sr[7]_i_1 + (.I0(\statemachine.ld_reg_n_0 ), + .I1(s00_axi_aresetn), + .I2(\statemachine.shift_reg_n_0 ), + .O(dcnt)); + LUT4 #( + .INIT(16'hE400)) + \sr[7]_i_2 + (.I0(\statemachine.ld_reg_n_0 ), + .I1(\sr_reg_n_0_[6] ), + .I2(\txr_reg[7] [7]), + .I3(s00_axi_aresetn), + .O(\sr[7]_i_2_n_0 )); + FDCE \sr_reg[0] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_17), + .Q(\sr_reg_n_0_[0] )); + FDCE \sr_reg[1] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\sr[1]_i_1_n_0 ), + .Q(\sr_reg_n_0_[1] )); + FDCE \sr_reg[2] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\sr[2]_i_1_n_0 ), + .Q(\sr_reg_n_0_[2] )); + FDCE \sr_reg[3] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\sr[3]_i_1_n_0 ), + .Q(\sr_reg_n_0_[3] )); + FDCE \sr_reg[4] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\sr[4]_i_1_n_0 ), + .Q(\sr_reg_n_0_[4] )); + FDCE \sr_reg[5] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\sr[5]_i_1_n_0 ), + .Q(\sr_reg_n_0_[5] )); + FDCE \sr_reg[6] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\sr[6]_i_1_n_0 ), + .Q(\sr_reg_n_0_[6] )); + FDCE \sr_reg[7] + (.C(s00_axi_aclk), + .CE(dcnt), + .CLR(iscl_oen_reg), + .D(\sr[7]_i_2_n_0 ), + .Q(dout)); + (* SOFT_HLUTNM = "soft_lutpair22" *) + LUT2 #( + .INIT(4'h8)) + \st_irq_block.rxack_i_1 + (.I0(s00_axi_aresetn), + .I1(ack_out), + .O(rxack_0)); + FDCE \statemachine.ack_out_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_15), + .Q(ack_out)); + LUT5 #( + .INIT(32'h00000001)) + \statemachine.core_cmd[1]_i_2 + (.I0(c_state__0[1]), + .I1(c_state__0[0]), + .I2(\cr_reg[7] [3]), + .I3(\cr_reg[7] [0]), + .I4(\cr_reg[7] [1]), + .O(\statemachine.core_cmd[1]_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000000F0C40FC4)) + \statemachine.core_cmd[2]_i_2 + (.I0(\cr_reg[7] [3]), + .I1(\cr_reg[7] [1]), + .I2(c_state__0[0]), + .I3(c_state__0[1]), + .I4(cnt_done), + .I5(c_state__0[2]), + .O(core_cmd)); + LUT6 #( + .INIT(64'h4848484878787B78)) + \statemachine.core_cmd[3]_i_2 + (.I0(cnt_done), + .I1(c_state__0[1]), + .I2(c_state__0[0]), + .I3(\cr_reg[7] [0]), + .I4(\cr_reg[7] [3]), + .I5(\cr_reg[7] [1]), + .O(\statemachine.core_cmd[3]_i_2_n_0 )); + FDCE \statemachine.core_cmd_reg[0] + (.C(s00_axi_aclk), + .CE(c_state), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_10), + .Q(cmd[0])); + FDCE \statemachine.core_cmd_reg[1] + (.C(s00_axi_aclk), + .CE(c_state), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_9), + .Q(cmd[1])); + FDCE \statemachine.core_cmd_reg[2] + (.C(s00_axi_aclk), + .CE(c_state), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_8), + .Q(cmd[2])); + FDCE \statemachine.core_cmd_reg[3] + (.C(s00_axi_aclk), + .CE(c_state), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_7), + .Q(cmd[3])); + FDCE \statemachine.core_txd_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_12), + .Q(\statemachine.core_txd_reg_n_0 )); + FDCE \statemachine.host_ack_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_14), + .Q(cmd_ack)); + FDCE \statemachine.ld_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_11), + .Q(\statemachine.ld_reg_n_0 )); + FDCE \statemachine.shift_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(iscl_oen_reg), + .D(bit_ctrl_n_13), + .Q(\statemachine.shift_reg_n_0 )); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \wb_dat_o[0]_i_2 + (.I0(\sr_reg_n_0_[0] ), + .I1(\ctr_reg[7] [0]), + .I2(wb_adr_o[1]), + .I3(Q[8]), + .I4(wb_adr_o[0]), + .I5(Q[0]), + .O(\wb_dat_o[0]_i_2_n_0 )); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \wb_dat_o[1]_i_2 + (.I0(\sr_reg_n_0_[1] ), + .I1(\ctr_reg[7] [1]), + .I2(wb_adr_o[1]), + .I3(Q[9]), + .I4(wb_adr_o[0]), + .I5(Q[1]), + .O(\wb_dat_o[1]_i_2_n_0 )); + LUT6 #( + .INIT(64'h3808FFFF38080000)) + \wb_dat_o[2]_i_1 + (.I0(\cr_reg[2] ), + .I1(wb_adr_o[1]), + .I2(wb_adr_o[0]), + .I3(\txr_reg[7] [2]), + .I4(wb_adr_o[2]), + .I5(\wb_dat_o[2]_i_2_n_0 ), + .O(D[2])); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \wb_dat_o[2]_i_2 + (.I0(\sr_reg_n_0_[2] ), + .I1(\ctr_reg[7] [2]), + .I2(wb_adr_o[1]), + .I3(Q[10]), + .I4(wb_adr_o[0]), + .I5(Q[2]), + .O(\wb_dat_o[2]_i_2_n_0 )); + LUT6 #( + .INIT(64'h3808FFFF38080000)) + \wb_dat_o[3]_i_1 + (.I0(ack_in), + .I1(wb_adr_o[1]), + .I2(wb_adr_o[0]), + .I3(\txr_reg[7] [3]), + .I4(wb_adr_o[2]), + .I5(\wb_dat_o[3]_i_2_n_0 ), + .O(D[3])); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \wb_dat_o[3]_i_2 + (.I0(\sr_reg_n_0_[3] ), + .I1(\ctr_reg[7] [3]), + .I2(wb_adr_o[1]), + .I3(Q[11]), + .I4(wb_adr_o[0]), + .I5(Q[3]), + .O(\wb_dat_o[3]_i_2_n_0 )); + LUT6 #( + .INIT(64'h3808FFFF38080000)) + \wb_dat_o[4]_i_1 + (.I0(\cr_reg[7] [0]), + .I1(wb_adr_o[1]), + .I2(wb_adr_o[0]), + .I3(\txr_reg[7] [4]), + .I4(wb_adr_o[2]), + .I5(\wb_dat_o[4]_i_2_n_0 ), + .O(D[4])); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \wb_dat_o[4]_i_2 + (.I0(\sr_reg_n_0_[4] ), + .I1(\ctr_reg[7] [4]), + .I2(wb_adr_o[1]), + .I3(Q[12]), + .I4(wb_adr_o[0]), + .I5(Q[4]), + .O(\wb_dat_o[4]_i_2_n_0 )); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \wb_dat_o[5]_i_2 + (.I0(\sr_reg_n_0_[5] ), + .I1(\ctr_reg[7] [5]), + .I2(wb_adr_o[1]), + .I3(Q[13]), + .I4(wb_adr_o[0]), + .I5(Q[5]), + .O(\wb_dat_o[5]_i_2_n_0 )); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \wb_dat_o[6]_i_2 + (.I0(\sr_reg_n_0_[6] ), + .I1(\ctr_reg[7] [6]), + .I2(wb_adr_o[1]), + .I3(Q[14]), + .I4(wb_adr_o[0]), + .I5(Q[6]), + .O(\wb_dat_o[6]_i_2_n_0 )); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \wb_dat_o[7]_i_2 + (.I0(dout), + .I1(\ctr_reg[7] [7]), + .I2(wb_adr_o[1]), + .I3(Q[15]), + .I4(wb_adr_o[0]), + .I5(Q[7]), + .O(\wb_dat_o[7]_i_2_n_0 )); + MUXF7 \wb_dat_o_reg[0]_i_1 + (.I0(\wb_dat_o[0]_i_2_n_0 ), + .I1(\cr_reg[0]_0 ), + .O(D[0]), + .S(wb_adr_o[2])); + MUXF7 \wb_dat_o_reg[1]_i_1 + (.I0(\wb_dat_o[1]_i_2_n_0 ), + .I1(\cr_reg[1] ), + .O(D[1]), + .S(wb_adr_o[2])); + MUXF7 \wb_dat_o_reg[5]_i_1 + (.I0(\wb_dat_o[5]_i_2_n_0 ), + .I1(\cr_reg[5] ), + .O(D[5]), + .S(wb_adr_o[2])); + MUXF7 \wb_dat_o_reg[7]_i_1 + (.I0(\wb_dat_o[7]_i_2_n_0 ), + .I1(\cr_reg[7]_0 ), + .O(D[7]), + .S(wb_adr_o[2])); +endmodule + +(* ORIG_REF_NAME = "i2c_master_top" *) +module system_design_axi_wb_i2c_master_1_0_i2c_master_top + (wb_ack_i, + wb_rst_o, + i2c_scl_t, + axi_int_o, + i2c_sda_t, + Q, + s_stb_r_reg, + \s_rdata_reg[0] , + \s_rdata_reg[7] , + s_stb_r_reg_0, + s00_axi_aclk, + s00_axi_aresetn, + i2c_sda_i, + i2c_scl_i, + s00_axi_wdata, + wb_adr_o, + s00_axi_awvalid, + s00_axi_arvalid, + wb_cyc_o, + wb_we_o, + iack_o_reg_0, + E, + s_we_r_reg, + s_we_r_reg_0, + D, + \s_addr_reg[4] ); + output wb_ack_i; + output wb_rst_o; + output i2c_scl_t; + output axi_int_o; + output i2c_sda_t; + output [0:0]Q; + output s_stb_r_reg; + output [0:0]\s_rdata_reg[0] ; + output [7:0]\s_rdata_reg[7] ; + input s_stb_r_reg_0; + input s00_axi_aclk; + input s00_axi_aresetn; + input i2c_sda_i; + input i2c_scl_i; + input [7:0]s00_axi_wdata; + input [2:0]wb_adr_o; + input s00_axi_awvalid; + input s00_axi_arvalid; + input wb_cyc_o; + input wb_we_o; + input iack_o_reg_0; + input [1:0]E; + input [0:0]s_we_r_reg; + input [0:0]s_we_r_reg_0; + input [3:0]D; + input \s_addr_reg[4] ; + + wire [3:0]D; + wire [1:0]E; + wire [0:0]Q; + wire ack_in; + wire al; + wire axi_int_o; + wire byte_ctrl_n_14; + wire \cr[0]_i_1_n_0 ; + wire \cr[1]_i_1_n_0 ; + wire \cr[2]_i_1_n_0 ; + wire \cr[3]_i_1_n_0 ; + wire \cr_reg_n_0_[0] ; + wire \cr_reg_n_0_[1] ; + wire \cr_reg_n_0_[2] ; + wire [7:0]ctr; + wire \ctr_reg_n_0_[0] ; + wire \ctr_reg_n_0_[1] ; + wire \ctr_reg_n_0_[2] ; + wire \ctr_reg_n_0_[3] ; + wire \ctr_reg_n_0_[4] ; + wire \ctr_reg_n_0_[5] ; + wire [13:0]data0; + wire i2c_scl_i; + wire i2c_scl_t; + wire i2c_sda_i; + wire i2c_sda_t; + wire iack_o_reg_0; + wire ien; + wire irq_flag; + wire irq_flag1_out; + wire \prer[10]_i_1_n_0 ; + wire \prer[11]_i_1_n_0 ; + wire \prer[12]_i_1_n_0 ; + wire \prer[13]_i_1_n_0 ; + wire \prer[14]_i_1_n_0 ; + wire \prer[15]_i_2_n_0 ; + wire \prer[8]_i_1_n_0 ; + wire \prer[9]_i_1_n_0 ; + wire \prer_reg_n_0_[0] ; + wire \prer_reg_n_0_[1] ; + wire read; + wire rxack; + wire rxack_0; + wire s00_axi_aclk; + wire s00_axi_aresetn; + wire s00_axi_arvalid; + wire s00_axi_awvalid; + wire [7:0]s00_axi_wdata; + wire \s_addr_reg[4] ; + wire [0:0]\s_rdata_reg[0] ; + wire [7:0]\s_rdata_reg[7] ; + wire s_stb_r_reg; + wire s_stb_r_reg_0; + wire [0:0]s_we_r_reg; + wire [0:0]s_we_r_reg_0; + wire \st_irq_block.al_reg_n_0 ; + wire \st_irq_block.wb_inta_o_i_1_n_0 ; + wire start; + wire stop; + wire tip; + wire tip_1; + wire [7:0]txr; + wire wb_ack_i; + wire [2:0]wb_adr_o; + wire wb_cyc_o; + wire [7:0]wb_dat_o; + wire \wb_dat_o[0]_i_3_n_0 ; + wire \wb_dat_o[1]_i_3_n_0 ; + wire \wb_dat_o[5]_i_3_n_0 ; + wire \wb_dat_o[7]_i_3_n_0 ; + wire wb_rst_o; + wire wb_we_o; + wire write; + + system_design_axi_wb_i2c_master_1_0_i2c_master_byte_ctrl byte_ctrl + (.D(wb_dat_o), + .E(byte_ctrl_n_14), + .Q({data0,\prer_reg_n_0_[1] ,\prer_reg_n_0_[0] }), + .ack_in(ack_in), + .al(al), + .\cr_reg[0] (\cr_reg_n_0_[0] ), + .\cr_reg[0]_0 (\wb_dat_o[0]_i_3_n_0 ), + .\cr_reg[1] (\wb_dat_o[1]_i_3_n_0 ), + .\cr_reg[2] (\cr_reg_n_0_[2] ), + .\cr_reg[5] (\wb_dat_o[5]_i_3_n_0 ), + .\cr_reg[7] ({start,stop,read,write}), + .\cr_reg[7]_0 (\wb_dat_o[7]_i_3_n_0 ), + .\ctr_reg[7] ({Q,ien,\ctr_reg_n_0_[5] ,\ctr_reg_n_0_[4] ,\ctr_reg_n_0_[3] ,\ctr_reg_n_0_[2] ,\ctr_reg_n_0_[1] ,\ctr_reg_n_0_[0] }), + .i2c_scl_i(i2c_scl_i), + .i2c_scl_t(i2c_scl_t), + .i2c_sda_i(i2c_sda_i), + .i2c_sda_t(i2c_sda_t), + .iack_o_reg(wb_ack_i), + .iack_o_reg_0(iack_o_reg_0), + .irq_flag(irq_flag), + .irq_flag1_out(irq_flag1_out), + .iscl_oen_reg(wb_rst_o), + .rxack_0(rxack_0), + .s00_axi_aclk(s00_axi_aclk), + .s00_axi_aresetn(s00_axi_aresetn), + .\st_irq_block.al_reg (\st_irq_block.al_reg_n_0 ), + .\txr_reg[7] (txr), + .wb_adr_o(wb_adr_o), + .wb_we_o(wb_we_o)); + LUT6 #( + .INIT(64'h8000FFFF80000000)) + \cr[0]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[0]), + .I2(wb_we_o), + .I3(wb_ack_i), + .I4(\s_addr_reg[4] ), + .I5(\cr_reg_n_0_[0] ), + .O(\cr[0]_i_1_n_0 )); + LUT6 #( + .INIT(64'h8000FFFF80000000)) + \cr[1]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[1]), + .I2(wb_we_o), + .I3(wb_ack_i), + .I4(\s_addr_reg[4] ), + .I5(\cr_reg_n_0_[1] ), + .O(\cr[1]_i_1_n_0 )); + LUT6 #( + .INIT(64'h8000FFFF80000000)) + \cr[2]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[2]), + .I2(wb_we_o), + .I3(wb_ack_i), + .I4(\s_addr_reg[4] ), + .I5(\cr_reg_n_0_[2] ), + .O(\cr[2]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair24" *) + LUT4 #( + .INIT(16'hC808)) + \cr[3]_i_1 + (.I0(s00_axi_wdata[3]), + .I1(s00_axi_aresetn), + .I2(iack_o_reg_0), + .I3(ack_in), + .O(\cr[3]_i_1_n_0 )); + FDCE \cr_reg[0] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(\cr[0]_i_1_n_0 ), + .Q(\cr_reg_n_0_[0] )); + FDCE \cr_reg[1] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(\cr[1]_i_1_n_0 ), + .Q(\cr_reg_n_0_[1] )); + FDCE \cr_reg[2] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(\cr[2]_i_1_n_0 ), + .Q(\cr_reg_n_0_[2] )); + FDCE \cr_reg[3] + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(\cr[3]_i_1_n_0 ), + .Q(ack_in)); + FDCE \cr_reg[4] + (.C(s00_axi_aclk), + .CE(byte_ctrl_n_14), + .CLR(wb_rst_o), + .D(D[0]), + .Q(write)); + FDCE \cr_reg[5] + (.C(s00_axi_aclk), + .CE(byte_ctrl_n_14), + .CLR(wb_rst_o), + .D(D[1]), + .Q(read)); + FDCE \cr_reg[6] + (.C(s00_axi_aclk), + .CE(byte_ctrl_n_14), + .CLR(wb_rst_o), + .D(D[2]), + .Q(stop)); + FDCE \cr_reg[7] + (.C(s00_axi_aclk), + .CE(byte_ctrl_n_14), + .CLR(wb_rst_o), + .D(D[3]), + .Q(start)); + (* SOFT_HLUTNM = "soft_lutpair26" *) + LUT2 #( + .INIT(4'h8)) + \ctr[0]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[0]), + .O(ctr[0])); + (* SOFT_HLUTNM = "soft_lutpair27" *) + LUT2 #( + .INIT(4'h8)) + \ctr[1]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[1]), + .O(ctr[1])); + (* SOFT_HLUTNM = "soft_lutpair28" *) + LUT2 #( + .INIT(4'h8)) + \ctr[2]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[2]), + .O(ctr[2])); + (* SOFT_HLUTNM = "soft_lutpair24" *) + LUT2 #( + .INIT(4'h8)) + \ctr[3]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[3]), + .O(ctr[3])); + (* SOFT_HLUTNM = "soft_lutpair29" *) + LUT2 #( + .INIT(4'h8)) + \ctr[4]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[4]), + .O(ctr[4])); + (* SOFT_HLUTNM = "soft_lutpair30" *) + LUT2 #( + .INIT(4'h8)) + \ctr[5]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[5]), + .O(ctr[5])); + (* SOFT_HLUTNM = "soft_lutpair31" *) + LUT2 #( + .INIT(4'h8)) + \ctr[6]_i_1 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[6]), + .O(ctr[6])); + (* SOFT_HLUTNM = "soft_lutpair32" *) + LUT2 #( + .INIT(4'h8)) + \ctr[7]_i_2 + (.I0(s00_axi_aresetn), + .I1(s00_axi_wdata[7]), + .O(ctr[7])); + FDCE \ctr_reg[0] + (.C(s00_axi_aclk), + .CE(s_we_r_reg), + .CLR(wb_rst_o), + .D(ctr[0]), + .Q(\ctr_reg_n_0_[0] )); + FDCE \ctr_reg[1] + (.C(s00_axi_aclk), + .CE(s_we_r_reg), + .CLR(wb_rst_o), + .D(ctr[1]), + .Q(\ctr_reg_n_0_[1] )); + FDCE \ctr_reg[2] + (.C(s00_axi_aclk), + .CE(s_we_r_reg), + .CLR(wb_rst_o), + .D(ctr[2]), + .Q(\ctr_reg_n_0_[2] )); + FDCE \ctr_reg[3] + (.C(s00_axi_aclk), + .CE(s_we_r_reg), + .CLR(wb_rst_o), + .D(ctr[3]), + .Q(\ctr_reg_n_0_[3] )); + FDCE \ctr_reg[4] + (.C(s00_axi_aclk), + .CE(s_we_r_reg), + .CLR(wb_rst_o), + .D(ctr[4]), + .Q(\ctr_reg_n_0_[4] )); + FDCE \ctr_reg[5] + (.C(s00_axi_aclk), + .CE(s_we_r_reg), + .CLR(wb_rst_o), + .D(ctr[5]), + .Q(\ctr_reg_n_0_[5] )); + FDCE \ctr_reg[6] + (.C(s00_axi_aclk), + .CE(s_we_r_reg), + .CLR(wb_rst_o), + .D(ctr[6]), + .Q(ien)); + FDCE \ctr_reg[7] + (.C(s00_axi_aclk), + .CE(s_we_r_reg), + .CLR(wb_rst_o), + .D(ctr[7]), + .Q(Q)); + FDRE iack_o_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .D(s_stb_r_reg_0), + .Q(wb_ack_i), + .R(1'b0)); + (* SOFT_HLUTNM = "soft_lutpair28" *) + LUT2 #( + .INIT(4'hB)) + \prer[10]_i_1 + (.I0(s00_axi_wdata[2]), + .I1(s00_axi_aresetn), + .O(\prer[10]_i_1_n_0 )); + LUT2 #( + .INIT(4'hB)) + \prer[11]_i_1 + (.I0(s00_axi_wdata[3]), + .I1(s00_axi_aresetn), + .O(\prer[11]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair29" *) + LUT2 #( + .INIT(4'hB)) + \prer[12]_i_1 + (.I0(s00_axi_wdata[4]), + .I1(s00_axi_aresetn), + .O(\prer[12]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair30" *) + LUT2 #( + .INIT(4'hB)) + \prer[13]_i_1 + (.I0(s00_axi_wdata[5]), + .I1(s00_axi_aresetn), + .O(\prer[13]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair31" *) + LUT2 #( + .INIT(4'hB)) + \prer[14]_i_1 + (.I0(s00_axi_wdata[6]), + .I1(s00_axi_aresetn), + .O(\prer[14]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair32" *) + LUT2 #( + .INIT(4'hB)) + \prer[15]_i_2 + (.I0(s00_axi_wdata[7]), + .I1(s00_axi_aresetn), + .O(\prer[15]_i_2_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair26" *) + LUT2 #( + .INIT(4'hB)) + \prer[8]_i_1 + (.I0(s00_axi_wdata[0]), + .I1(s00_axi_aresetn), + .O(\prer[8]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair27" *) + LUT2 #( + .INIT(4'hB)) + \prer[9]_i_1 + (.I0(s00_axi_wdata[1]), + .I1(s00_axi_aresetn), + .O(\prer[9]_i_1_n_0 )); + FDPE \prer_reg[0] + (.C(s00_axi_aclk), + .CE(E[0]), + .D(\prer[8]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(\prer_reg_n_0_[0] )); + FDPE \prer_reg[10] + (.C(s00_axi_aclk), + .CE(E[1]), + .D(\prer[10]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[8])); + FDPE \prer_reg[11] + (.C(s00_axi_aclk), + .CE(E[1]), + .D(\prer[11]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[9])); + FDPE \prer_reg[12] + (.C(s00_axi_aclk), + .CE(E[1]), + .D(\prer[12]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[10])); + FDPE \prer_reg[13] + (.C(s00_axi_aclk), + .CE(E[1]), + .D(\prer[13]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[11])); + FDPE \prer_reg[14] + (.C(s00_axi_aclk), + .CE(E[1]), + .D(\prer[14]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[12])); + FDPE \prer_reg[15] + (.C(s00_axi_aclk), + .CE(E[1]), + .D(\prer[15]_i_2_n_0 ), + .PRE(wb_rst_o), + .Q(data0[13])); + FDPE \prer_reg[1] + (.C(s00_axi_aclk), + .CE(E[0]), + .D(\prer[9]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(\prer_reg_n_0_[1] )); + FDPE \prer_reg[2] + (.C(s00_axi_aclk), + .CE(E[0]), + .D(\prer[10]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[0])); + FDPE \prer_reg[3] + (.C(s00_axi_aclk), + .CE(E[0]), + .D(\prer[11]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[1])); + FDPE \prer_reg[4] + (.C(s00_axi_aclk), + .CE(E[0]), + .D(\prer[12]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[2])); + FDPE \prer_reg[5] + (.C(s00_axi_aclk), + .CE(E[0]), + .D(\prer[13]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[3])); + FDPE \prer_reg[6] + (.C(s00_axi_aclk), + .CE(E[0]), + .D(\prer[14]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[4])); + FDPE \prer_reg[7] + (.C(s00_axi_aclk), + .CE(E[0]), + .D(\prer[15]_i_2_n_0 ), + .PRE(wb_rst_o), + .Q(data0[5])); + FDPE \prer_reg[8] + (.C(s00_axi_aclk), + .CE(E[1]), + .D(\prer[8]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[6])); + FDPE \prer_reg[9] + (.C(s00_axi_aclk), + .CE(E[1]), + .D(\prer[9]_i_1_n_0 ), + .PRE(wb_rst_o), + .Q(data0[7])); + (* SOFT_HLUTNM = "soft_lutpair23" *) + LUT2 #( + .INIT(4'h2)) + \s_rdata[7]_i_1 + (.I0(wb_ack_i), + .I1(wb_we_o), + .O(\s_rdata_reg[0] )); + (* SOFT_HLUTNM = "soft_lutpair23" *) + LUT4 #( + .INIT(16'hEFEE)) + s_stb_r_i_1 + (.I0(s00_axi_awvalid), + .I1(s00_axi_arvalid), + .I2(wb_ack_i), + .I3(wb_cyc_o), + .O(s_stb_r_reg)); + FDCE \st_irq_block.al_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(al), + .Q(\st_irq_block.al_reg_n_0 )); + FDCE \st_irq_block.irq_flag_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(irq_flag1_out), + .Q(irq_flag)); + FDCE \st_irq_block.rxack_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(rxack_0), + .Q(rxack)); + (* SOFT_HLUTNM = "soft_lutpair25" *) + LUT3 #( + .INIT(8'hA8)) + \st_irq_block.tip_i_1 + (.I0(s00_axi_aresetn), + .I1(write), + .I2(read), + .O(tip_1)); + FDCE \st_irq_block.tip_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(tip_1), + .Q(tip)); + (* SOFT_HLUTNM = "soft_lutpair25" *) + LUT3 #( + .INIT(8'h80)) + \st_irq_block.wb_inta_o_i_1 + (.I0(irq_flag), + .I1(s00_axi_aresetn), + .I2(ien), + .O(\st_irq_block.wb_inta_o_i_1_n_0 )); + FDCE \st_irq_block.wb_inta_o_reg + (.C(s00_axi_aclk), + .CE(1'b1), + .CLR(wb_rst_o), + .D(\st_irq_block.wb_inta_o_i_1_n_0 ), + .Q(axi_int_o)); + FDCE \txr_reg[0] + (.C(s00_axi_aclk), + .CE(s_we_r_reg_0), + .CLR(wb_rst_o), + .D(ctr[0]), + .Q(txr[0])); + FDCE \txr_reg[1] + (.C(s00_axi_aclk), + .CE(s_we_r_reg_0), + .CLR(wb_rst_o), + .D(ctr[1]), + .Q(txr[1])); + FDCE \txr_reg[2] + (.C(s00_axi_aclk), + .CE(s_we_r_reg_0), + .CLR(wb_rst_o), + .D(ctr[2]), + .Q(txr[2])); + FDCE \txr_reg[3] + (.C(s00_axi_aclk), + .CE(s_we_r_reg_0), + .CLR(wb_rst_o), + .D(ctr[3]), + .Q(txr[3])); + FDCE \txr_reg[4] + (.C(s00_axi_aclk), + .CE(s_we_r_reg_0), + .CLR(wb_rst_o), + .D(ctr[4]), + .Q(txr[4])); + FDCE \txr_reg[5] + (.C(s00_axi_aclk), + .CE(s_we_r_reg_0), + .CLR(wb_rst_o), + .D(ctr[5]), + .Q(txr[5])); + FDCE \txr_reg[6] + (.C(s00_axi_aclk), + .CE(s_we_r_reg_0), + .CLR(wb_rst_o), + .D(ctr[6]), + .Q(txr[6])); + FDCE \txr_reg[7] + (.C(s00_axi_aclk), + .CE(s_we_r_reg_0), + .CLR(wb_rst_o), + .D(ctr[7]), + .Q(txr[7])); + LUT5 #( + .INIT(32'h30BB3088)) + \wb_dat_o[0]_i_3 + (.I0(\cr_reg_n_0_[0] ), + .I1(wb_adr_o[1]), + .I2(txr[0]), + .I3(wb_adr_o[0]), + .I4(irq_flag), + .O(\wb_dat_o[0]_i_3_n_0 )); + LUT5 #( + .INIT(32'h30BB3088)) + \wb_dat_o[1]_i_3 + (.I0(\cr_reg_n_0_[1] ), + .I1(wb_adr_o[1]), + .I2(txr[1]), + .I3(wb_adr_o[0]), + .I4(tip), + .O(\wb_dat_o[1]_i_3_n_0 )); + LUT5 #( + .INIT(32'h30BB3088)) + \wb_dat_o[5]_i_3 + (.I0(read), + .I1(wb_adr_o[1]), + .I2(txr[5]), + .I3(wb_adr_o[0]), + .I4(\st_irq_block.al_reg_n_0 ), + .O(\wb_dat_o[5]_i_3_n_0 )); + LUT5 #( + .INIT(32'h30BB3088)) + \wb_dat_o[7]_i_3 + (.I0(start), + .I1(wb_adr_o[1]), + .I2(txr[7]), + .I3(wb_adr_o[0]), + .I4(rxack), + .O(\wb_dat_o[7]_i_3_n_0 )); + FDRE \wb_dat_o_reg[0] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(wb_dat_o[0]), + .Q(\s_rdata_reg[7] [0]), + .R(1'b0)); + FDRE \wb_dat_o_reg[1] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(wb_dat_o[1]), + .Q(\s_rdata_reg[7] [1]), + .R(1'b0)); + FDRE \wb_dat_o_reg[2] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(wb_dat_o[2]), + .Q(\s_rdata_reg[7] [2]), + .R(1'b0)); + FDRE \wb_dat_o_reg[3] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(wb_dat_o[3]), + .Q(\s_rdata_reg[7] [3]), + .R(1'b0)); + FDRE \wb_dat_o_reg[4] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(wb_dat_o[4]), + .Q(\s_rdata_reg[7] [4]), + .R(1'b0)); + FDRE \wb_dat_o_reg[5] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(wb_dat_o[5]), + .Q(\s_rdata_reg[7] [5]), + .R(1'b0)); + FDRE \wb_dat_o_reg[6] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(wb_dat_o[6]), + .Q(\s_rdata_reg[7] [6]), + .R(1'b0)); + FDRE \wb_dat_o_reg[7] + (.C(s00_axi_aclk), + .CE(1'b1), + .D(wb_dat_o[7]), + .Q(\s_rdata_reg[7] [7]), + .R(1'b0)); +endmodule +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule +`endif diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.vhdl new file mode 100644 index 0000000000000000000000000000000000000000..590c6ec2ef9ef6945689f112821bc6e0e3b3bd6b --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.vhdl @@ -0,0 +1,4862 @@ +-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +-- Date : Mon Dec 18 11:24:48 2017 +-- Host : lapte24154 running 64-bit openSUSE Leap 42.2 +-- Command : write_vhdl -force -mode funcsim +-- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_sim_netlist.vhdl +-- Design : system_design_axi_wb_i2c_master_1_0 +-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or +-- synthesized. This netlist cannot be used for SDF annotated simulation. +-- Device : xc7z030ffg676-2 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_axi_wb_i2c_master_1_0_axis_wbm_bridge is + port ( + s00_axi_awready : out STD_LOGIC; + s00_axi_wready : out STD_LOGIC; + s00_axi_arready : out STD_LOGIC; + wb_we_o : out STD_LOGIC; + wb_cyc_o : out STD_LOGIC; + s00_axi_bresp : out STD_LOGIC_VECTOR ( 0 to 0 ); + s00_axi_bvalid : out STD_LOGIC; + \cr_reg[2]\ : out STD_LOGIC; + wb_adr_o : out STD_LOGIC_VECTOR ( 2 downto 0 ); + E : out STD_LOGIC_VECTOR ( 0 to 0 ); + D : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \cr_reg[4]\ : out STD_LOGIC; + \prer_reg[8]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); + \ctr_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + s00_axi_rvalid : out STD_LOGIC; + iack_o_reg : out STD_LOGIC; + s00_axi_rdata : out STD_LOGIC_VECTOR ( 7 downto 0 ); + wb_rst_o : in STD_LOGIC; + s00_axi_aclk : in STD_LOGIC; + iack_o_reg_0 : in STD_LOGIC; + Q : in STD_LOGIC_VECTOR ( 0 to 0 ); + s00_axi_aresetn : in STD_LOGIC; + wb_ack_i : in STD_LOGIC; + s00_axi_awvalid : in STD_LOGIC; + s00_axi_arvalid : in STD_LOGIC; + s00_axi_bready : in STD_LOGIC; + s00_axi_rready : in STD_LOGIC; + s00_axi_wvalid : in STD_LOGIC; + s00_axi_wdata : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s00_axi_araddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_awaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); + iack_o_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 ); + \wb_dat_o_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ) + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_axi_wb_i2c_master_1_0_axis_wbm_bridge : entity is "axis_wbm_bridge"; +end system_design_axi_wb_i2c_master_1_0_axis_wbm_bridge; + +architecture STRUCTURE of system_design_axi_wb_i2c_master_1_0_axis_wbm_bridge is + signal \cr[2]_i_3_n_0\ : STD_LOGIC; + signal \^s00_axi_arready\ : STD_LOGIC; + signal \^s00_axi_awready\ : STD_LOGIC; + signal \^s00_axi_bresp\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^s00_axi_wready\ : STD_LOGIC; + signal \s_addr[2]_i_1_n_0\ : STD_LOGIC; + signal \s_addr[3]_i_1_n_0\ : STD_LOGIC; + signal \s_addr[4]_i_1_n_0\ : STD_LOGIC; + signal s_arready_i_1_n_0 : STD_LOGIC; + signal s_awready_i_1_n_0 : STD_LOGIC; + signal \s_bresp[1]_i_1_n_0\ : STD_LOGIC; + signal s_bvalid : STD_LOGIC; + signal s_bvalid_i_1_n_0 : STD_LOGIC; + signal s_rvalid : STD_LOGIC; + signal s_rvalid_i_1_n_0 : STD_LOGIC; + signal s_we_r_i_1_n_0 : STD_LOGIC; + signal s_wready_i_1_n_0 : STD_LOGIC; + signal \^wb_adr_o\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \^wb_cyc_o\ : STD_LOGIC; + signal \^wb_we_o\ : STD_LOGIC; + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \cr[2]_i_3\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \cr[4]_i_1\ : label is "soft_lutpair4"; + attribute SOFT_HLUTNM of \cr[5]_i_1\ : label is "soft_lutpair4"; + attribute SOFT_HLUTNM of \cr[6]_i_1\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \cr[7]_i_2\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \ctr[7]_i_1\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of iack_o_i_1 : label is "soft_lutpair5"; + attribute SOFT_HLUTNM of \prer[15]_i_1\ : label is "soft_lutpair0"; + attribute SOFT_HLUTNM of s00_axi_bvalid_INST_0 : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of s00_axi_rvalid_INST_0 : label is "soft_lutpair3"; + attribute SOFT_HLUTNM of s_awready_i_1 : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \s_bresp[1]_i_1\ : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of s_rvalid_i_1 : label is "soft_lutpair5"; + attribute SOFT_HLUTNM of s_we_r_i_1 : label is "soft_lutpair3"; + attribute SOFT_HLUTNM of s_wready_i_1 : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \txr[7]_i_1\ : label is "soft_lutpair0"; +begin + s00_axi_arready <= \^s00_axi_arready\; + s00_axi_awready <= \^s00_axi_awready\; + s00_axi_bresp(0) <= \^s00_axi_bresp\(0); + s00_axi_wready <= \^s00_axi_wready\; + wb_adr_o(2 downto 0) <= \^wb_adr_o\(2 downto 0); + wb_cyc_o <= \^wb_cyc_o\; + wb_we_o <= \^wb_we_o\; +\cr[2]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFF0008FFFFFFFF" + ) + port map ( + I0 => \^wb_adr_o\(2), + I1 => Q(0), + I2 => \^wb_adr_o\(1), + I3 => \^wb_adr_o\(0), + I4 => \cr[2]_i_3_n_0\, + I5 => s00_axi_aresetn, + O => \cr_reg[2]\ + ); +\cr[2]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"7" + ) + port map ( + I0 => \^wb_we_o\, + I1 => wb_ack_i, + O => \cr[2]_i_3_n_0\ + ); +\cr[4]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"8000" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(0), + I2 => \^wb_we_o\, + I3 => wb_ack_i, + O => D(0) + ); +\cr[5]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"8000" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(1), + I2 => \^wb_we_o\, + I3 => wb_ack_i, + O => D(1) + ); +\cr[6]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"8000" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(2), + I2 => \^wb_we_o\, + I3 => wb_ack_i, + O => D(2) + ); +\cr[7]_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"8000" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(3), + I2 => \^wb_we_o\, + I3 => wb_ack_i, + O => D(3) + ); +\cr[7]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFFFFFFFFF7FFF" + ) + port map ( + I0 => wb_ack_i, + I1 => \^wb_we_o\, + I2 => \^wb_adr_o\(2), + I3 => Q(0), + I4 => \^wb_adr_o\(1), + I5 => \^wb_adr_o\(0), + O => \cr_reg[4]\ + ); +\ctr[7]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"0080FFFF" + ) + port map ( + I0 => \^wb_we_o\, + I1 => wb_ack_i, + I2 => \^wb_adr_o\(1), + I3 => \^wb_adr_o\(0), + I4 => s00_axi_aresetn, + O => \ctr_reg[0]\(0) + ); +iack_o_i_1: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^wb_cyc_o\, + I1 => wb_ack_i, + O => iack_o_reg + ); +\prer[15]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"75555555" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => \^wb_adr_o\(1), + I2 => wb_ack_i, + I3 => \^wb_we_o\, + I4 => \^wb_adr_o\(0), + O => \prer_reg[8]\(1) + ); +\prer[7]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"5555555557555555" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => \^wb_adr_o\(1), + I2 => \^wb_adr_o\(2), + I3 => wb_ack_i, + I4 => \^wb_we_o\, + I5 => \^wb_adr_o\(0), + O => \prer_reg[8]\(0) + ); +s00_axi_bvalid_INST_0: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s_bvalid, + I1 => \^wb_we_o\, + O => s00_axi_bvalid + ); +s00_axi_rvalid_INST_0: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_rvalid, + I1 => \^wb_we_o\, + O => s00_axi_rvalid + ); +\s_addr[2]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AACFAAC0" + ) + port map ( + I0 => s00_axi_araddr(0), + I1 => s00_axi_awaddr(0), + I2 => s00_axi_awvalid, + I3 => s00_axi_arvalid, + I4 => \^wb_adr_o\(0), + O => \s_addr[2]_i_1_n_0\ + ); +\s_addr[3]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AACFAAC0" + ) + port map ( + I0 => s00_axi_araddr(1), + I1 => s00_axi_awaddr(1), + I2 => s00_axi_awvalid, + I3 => s00_axi_arvalid, + I4 => \^wb_adr_o\(1), + O => \s_addr[3]_i_1_n_0\ + ); +\s_addr[4]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AACFAAC0" + ) + port map ( + I0 => s00_axi_araddr(2), + I1 => s00_axi_awaddr(2), + I2 => s00_axi_awvalid, + I3 => s00_axi_arvalid, + I4 => \^wb_adr_o\(2), + O => \s_addr[4]_i_1_n_0\ + ); +\s_addr_reg[2]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => '1', + D => \s_addr[2]_i_1_n_0\, + Q => \^wb_adr_o\(0), + R => wb_rst_o + ); +\s_addr_reg[3]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => '1', + D => \s_addr[3]_i_1_n_0\, + Q => \^wb_adr_o\(1), + R => wb_rst_o + ); +\s_addr_reg[4]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => '1', + D => \s_addr[4]_i_1_n_0\, + Q => \^wb_adr_o\(2), + R => wb_rst_o + ); +s_arready_i_1: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s00_axi_arvalid, + I1 => \^s00_axi_arready\, + O => s_arready_i_1_n_0 + ); +s_arready_reg: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => s_arready_i_1_n_0, + Q => \^s00_axi_arready\, + R => wb_rst_o + ); +s_awready_i_1: unisim.vcomponents.LUT3 + generic map( + INIT => X"08" + ) + port map ( + I0 => s00_axi_wvalid, + I1 => s00_axi_awvalid, + I2 => \^s00_axi_awready\, + O => s_awready_i_1_n_0 + ); +s_awready_reg: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => s_awready_i_1_n_0, + Q => \^s00_axi_awready\, + R => wb_rst_o + ); +\s_bresp[1]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FF7F0000" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => \^wb_we_o\, + I2 => wb_ack_i, + I3 => s_bvalid, + I4 => \^s00_axi_bresp\(0), + O => \s_bresp[1]_i_1_n_0\ + ); +\s_bresp_reg[1]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => '1', + D => \s_bresp[1]_i_1_n_0\, + Q => \^s00_axi_bresp\(0), + R => '0' + ); +s_bvalid_i_1: unisim.vcomponents.LUT4 + generic map( + INIT => X"0F88" + ) + port map ( + I0 => \^wb_we_o\, + I1 => wb_ack_i, + I2 => s00_axi_bready, + I3 => s_bvalid, + O => s_bvalid_i_1_n_0 + ); +s_bvalid_reg: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => s_bvalid_i_1_n_0, + Q => s_bvalid, + R => wb_rst_o + ); +\s_rdata_reg[0]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => iack_o_reg_1(0), + D => \wb_dat_o_reg[7]\(0), + Q => s00_axi_rdata(0), + R => wb_rst_o + ); +\s_rdata_reg[1]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => iack_o_reg_1(0), + D => \wb_dat_o_reg[7]\(1), + Q => s00_axi_rdata(1), + R => wb_rst_o + ); +\s_rdata_reg[2]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => iack_o_reg_1(0), + D => \wb_dat_o_reg[7]\(2), + Q => s00_axi_rdata(2), + R => wb_rst_o + ); +\s_rdata_reg[3]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => iack_o_reg_1(0), + D => \wb_dat_o_reg[7]\(3), + Q => s00_axi_rdata(3), + R => wb_rst_o + ); +\s_rdata_reg[4]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => iack_o_reg_1(0), + D => \wb_dat_o_reg[7]\(4), + Q => s00_axi_rdata(4), + R => wb_rst_o + ); +\s_rdata_reg[5]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => iack_o_reg_1(0), + D => \wb_dat_o_reg[7]\(5), + Q => s00_axi_rdata(5), + R => wb_rst_o + ); +\s_rdata_reg[6]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => iack_o_reg_1(0), + D => \wb_dat_o_reg[7]\(6), + Q => s00_axi_rdata(6), + R => wb_rst_o + ); +\s_rdata_reg[7]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s00_axi_aclk, + CE => iack_o_reg_1(0), + D => \wb_dat_o_reg[7]\(7), + Q => s00_axi_rdata(7), + R => wb_rst_o + ); +s_rvalid_i_1: unisim.vcomponents.LUT4 + generic map( + INIT => X"4F44" + ) + port map ( + I0 => s00_axi_rready, + I1 => s_rvalid, + I2 => \^wb_we_o\, + I3 => wb_ack_i, + O => s_rvalid_i_1_n_0 + ); +s_rvalid_reg: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => s_rvalid_i_1_n_0, + Q => s_rvalid, + R => wb_rst_o + ); +s_stb_r_reg: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => iack_o_reg_0, + Q => \^wb_cyc_o\, + R => wb_rst_o + ); +s_we_r_i_1: unisim.vcomponents.LUT4 + generic map( + INIT => X"00E0" + ) + port map ( + I0 => \^wb_we_o\, + I1 => s00_axi_awvalid, + I2 => s00_axi_aresetn, + I3 => s00_axi_arvalid, + O => s_we_r_i_1_n_0 + ); +s_we_r_reg: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => s_we_r_i_1_n_0, + Q => \^wb_we_o\, + R => '0' + ); +s_wready_i_1: unisim.vcomponents.LUT3 + generic map( + INIT => X"08" + ) + port map ( + I0 => s00_axi_wvalid, + I1 => s00_axi_awvalid, + I2 => \^s00_axi_wready\, + O => s_wready_i_1_n_0 + ); +s_wready_reg: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => s_wready_i_1_n_0, + Q => \^s00_axi_wready\, + R => wb_rst_o + ); +\txr[7]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"8000FFFF" + ) + port map ( + I0 => \^wb_we_o\, + I1 => wb_ack_i, + I2 => \^wb_adr_o\(0), + I3 => \^wb_adr_o\(1), + I4 => s00_axi_aresetn, + O => E(0) + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_axi_wb_i2c_master_1_0_i2c_master_bit_ctrl is + port ( + iscl_oen_reg_0 : out STD_LOGIC; + i2c_scl_t : out STD_LOGIC; + i2c_sda_t : out STD_LOGIC; + E : out STD_LOGIC_VECTOR ( 0 to 0 ); + irq_flag1_out : out STD_LOGIC; + al : out STD_LOGIC; + D : out STD_LOGIC_VECTOR ( 0 to 0 ); + \statemachine.core_cmd_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \statemachine.ld_reg\ : out STD_LOGIC; + \statemachine.core_txd_reg\ : out STD_LOGIC; + \statemachine.shift_reg\ : out STD_LOGIC; + \statemachine.host_ack_reg\ : out STD_LOGIC; + \statemachine.ack_out_reg\ : out STD_LOGIC; + \cr_reg[4]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \sr_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \FSM_sequential_statemachine.c_state_reg[2]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_aclk : in STD_LOGIC; + s00_axi_aresetn : in STD_LOGIC; + \out\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \cr_reg[0]\ : in STD_LOGIC; + cmd_ack : in STD_LOGIC; + irq_flag : in STD_LOGIC; + Q : in STD_LOGIC_VECTOR ( 15 downto 0 ); + \ctr_reg[7]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + i2c_sda_i : in STD_LOGIC; + i2c_scl_i : in STD_LOGIC; + \statemachine.core_cmd_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \st_irq_block.al_reg\ : in STD_LOGIC; + \cr_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + wb_adr_o : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \sr_reg[6]\ : in STD_LOGIC; + \txr_reg[6]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \FSM_sequential_statemachine.c_state_reg[1]\ : in STD_LOGIC; + core_cmd : in STD_LOGIC_VECTOR ( 0 to 0 ); + \FSM_sequential_statemachine.c_state_reg[1]_0\ : in STD_LOGIC; + cnt_done : in STD_LOGIC; + ack_out : in STD_LOGIC; + iack_o_reg : in STD_LOGIC; + wb_we_o : in STD_LOGIC; + iack_o_reg_0 : in STD_LOGIC; + \statemachine.ld_reg_0\ : in STD_LOGIC; + \FSM_sequential_statemachine.c_state_reg[1]_1\ : in STD_LOGIC; + \FSM_sequential_statemachine.c_state_reg[1]_2\ : in STD_LOGIC; + ack_in : in STD_LOGIC; + \sr_reg[7]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \cr_reg[7]_0\ : in STD_LOGIC; + \statemachine.core_txd_reg_0\ : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_axi_wb_i2c_master_1_0_i2c_master_bit_ctrl : entity is "i2c_master_bit_ctrl"; +end system_design_axi_wb_i2c_master_1_0_i2c_master_bit_ctrl; + +architecture STRUCTURE of system_design_axi_wb_i2c_master_1_0_i2c_master_bit_ctrl is + signal \FSM_sequential_c_state[0]_i_1_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[0]_i_2_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[1]_i_1_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[1]_i_2_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[1]_i_3_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[2]_i_1_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[2]_i_2_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[3]_i_1_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[3]_i_2_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[3]_i_3_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[4]_i_1_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[4]_i_2_n_0\ : STD_LOGIC; + signal \FSM_sequential_c_state[4]_i_3_n_0\ : STD_LOGIC; + signal \FSM_sequential_statemachine.c_state[2]_i_3_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.cSCL[0]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.cSCL[1]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.cSDA[0]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.cSDA[1]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.cSDA_reg_n_0_[1]\ : STD_LOGIC; + signal \bus_status_ctrl.cmd_stop_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.cmd_stop_i_2_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.cmd_stop_reg_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.dSCL_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.dSDA_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.dout_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.fSCL[0]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.fSCL[1]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.fSCL[2]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.fSCL_reg_n_0_[2]\ : STD_LOGIC; + signal \bus_status_ctrl.fSDA[0]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.fSDA[1]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.fSDA[2]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.fSDA[2]_i_2_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.fSDA_reg_n_0_[0]\ : STD_LOGIC; + signal \bus_status_ctrl.fSDA_reg_n_0_[1]\ : STD_LOGIC; + signal \bus_status_ctrl.fSDA_reg_n_0_[2]\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[0]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[10]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[11]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[12]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[13]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[13]_i_2_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[13]_i_3_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[13]_i_4_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[1]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[2]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[3]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[4]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[5]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[6]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[7]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[8]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.filter_cnt[9]_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.ial_i_2_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.ial_i_3_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.sSCL_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.sSDA_i_1_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.sta_condition_reg_n_0\ : STD_LOGIC; + signal \bus_status_ctrl.sto_condition_reg_n_0\ : STD_LOGIC; + signal c_state : STD_LOGIC_VECTOR ( 4 downto 0 ); + attribute RTL_KEEP : string; + attribute RTL_KEEP of c_state : signal is "yes"; + signal clk_en : STD_LOGIC; + signal clk_en_i_2_n_0 : STD_LOGIC; + signal clk_en_i_3_n_0 : STD_LOGIC; + signal clk_en_i_4_n_0 : STD_LOGIC; + signal clk_en_i_5_n_0 : STD_LOGIC; + signal clk_en_i_6_n_0 : STD_LOGIC; + signal cmd_ack3_out : STD_LOGIC; + signal cmd_ack_i_2_n_0 : STD_LOGIC; + signal cnt1 : STD_LOGIC; + signal \cnt[0]_i_10_n_0\ : STD_LOGIC; + signal \cnt[0]_i_1_n_0\ : STD_LOGIC; + signal \cnt[0]_i_3_n_0\ : STD_LOGIC; + signal \cnt[0]_i_4_n_0\ : STD_LOGIC; + signal \cnt[0]_i_5_n_0\ : STD_LOGIC; + signal \cnt[0]_i_6_n_0\ : STD_LOGIC; + signal \cnt[0]_i_7_n_0\ : STD_LOGIC; + signal \cnt[0]_i_8_n_0\ : STD_LOGIC; + signal \cnt[0]_i_9_n_0\ : STD_LOGIC; + signal \cnt[12]_i_2_n_0\ : STD_LOGIC; + signal \cnt[12]_i_3_n_0\ : STD_LOGIC; + signal \cnt[12]_i_4_n_0\ : STD_LOGIC; + signal \cnt[12]_i_5_n_0\ : STD_LOGIC; + signal \cnt[12]_i_6_n_0\ : STD_LOGIC; + signal \cnt[12]_i_7_n_0\ : STD_LOGIC; + signal \cnt[12]_i_8_n_0\ : STD_LOGIC; + signal \cnt[4]_i_2_n_0\ : STD_LOGIC; + signal \cnt[4]_i_3_n_0\ : STD_LOGIC; + signal \cnt[4]_i_4_n_0\ : STD_LOGIC; + signal \cnt[4]_i_5_n_0\ : STD_LOGIC; + signal \cnt[4]_i_6_n_0\ : STD_LOGIC; + signal \cnt[4]_i_7_n_0\ : STD_LOGIC; + signal \cnt[4]_i_8_n_0\ : STD_LOGIC; + signal \cnt[4]_i_9_n_0\ : STD_LOGIC; + signal \cnt[8]_i_2_n_0\ : STD_LOGIC; + signal \cnt[8]_i_3_n_0\ : STD_LOGIC; + signal \cnt[8]_i_4_n_0\ : STD_LOGIC; + signal \cnt[8]_i_5_n_0\ : STD_LOGIC; + signal \cnt[8]_i_6_n_0\ : STD_LOGIC; + signal \cnt[8]_i_7_n_0\ : STD_LOGIC; + signal \cnt[8]_i_8_n_0\ : STD_LOGIC; + signal \cnt[8]_i_9_n_0\ : STD_LOGIC; + signal cnt_reg : STD_LOGIC_VECTOR ( 15 downto 0 ); + signal \cnt_reg[0]_i_2_n_0\ : STD_LOGIC; + signal \cnt_reg[0]_i_2_n_1\ : STD_LOGIC; + signal \cnt_reg[0]_i_2_n_2\ : STD_LOGIC; + signal \cnt_reg[0]_i_2_n_3\ : STD_LOGIC; + signal \cnt_reg[0]_i_2_n_4\ : STD_LOGIC; + signal \cnt_reg[0]_i_2_n_5\ : STD_LOGIC; + signal \cnt_reg[0]_i_2_n_6\ : STD_LOGIC; + signal \cnt_reg[0]_i_2_n_7\ : STD_LOGIC; + signal \cnt_reg[12]_i_1_n_1\ : STD_LOGIC; + signal \cnt_reg[12]_i_1_n_2\ : STD_LOGIC; + signal \cnt_reg[12]_i_1_n_3\ : STD_LOGIC; + signal \cnt_reg[12]_i_1_n_4\ : STD_LOGIC; + signal \cnt_reg[12]_i_1_n_5\ : STD_LOGIC; + signal \cnt_reg[12]_i_1_n_6\ : STD_LOGIC; + signal \cnt_reg[12]_i_1_n_7\ : STD_LOGIC; + signal \cnt_reg[4]_i_1_n_0\ : STD_LOGIC; + signal \cnt_reg[4]_i_1_n_1\ : STD_LOGIC; + signal \cnt_reg[4]_i_1_n_2\ : STD_LOGIC; + signal \cnt_reg[4]_i_1_n_3\ : STD_LOGIC; + signal \cnt_reg[4]_i_1_n_4\ : STD_LOGIC; + signal \cnt_reg[4]_i_1_n_5\ : STD_LOGIC; + signal \cnt_reg[4]_i_1_n_6\ : STD_LOGIC; + signal \cnt_reg[4]_i_1_n_7\ : STD_LOGIC; + signal \cnt_reg[8]_i_1_n_0\ : STD_LOGIC; + signal \cnt_reg[8]_i_1_n_1\ : STD_LOGIC; + signal \cnt_reg[8]_i_1_n_2\ : STD_LOGIC; + signal \cnt_reg[8]_i_1_n_3\ : STD_LOGIC; + signal \cnt_reg[8]_i_1_n_4\ : STD_LOGIC; + signal \cnt_reg[8]_i_1_n_5\ : STD_LOGIC; + signal \cnt_reg[8]_i_1_n_6\ : STD_LOGIC; + signal \cnt_reg[8]_i_1_n_7\ : STD_LOGIC; + signal core_ack : STD_LOGIC; + signal core_rxd : STD_LOGIC; + signal core_txd : STD_LOGIC; + signal dSCL : STD_LOGIC; + signal dSDA : STD_LOGIC; + signal dscl_oen : STD_LOGIC; + signal filter_cnt : STD_LOGIC_VECTOR ( 13 downto 0 ); + signal i2c_al : STD_LOGIC; + signal i2c_busy : STD_LOGIC; + signal \^i2c_scl_t\ : STD_LOGIC; + signal \^i2c_sda_t\ : STD_LOGIC; + signal ial : STD_LOGIC; + signal ibusy : STD_LOGIC; + signal iscl_oen : STD_LOGIC; + signal \iscl_oen9_out__0\ : STD_LOGIC; + signal iscl_oen_i_1_n_0 : STD_LOGIC; + signal \^iscl_oen_reg_0\ : STD_LOGIC; + signal isda_oen : STD_LOGIC; + signal \isda_oen7_out__0\ : STD_LOGIC; + signal isda_oen_i_1_n_0 : STD_LOGIC; + signal \minusOp_carry__0_i_1_n_0\ : STD_LOGIC; + signal \minusOp_carry__0_i_2_n_0\ : STD_LOGIC; + signal \minusOp_carry__0_i_3_n_0\ : STD_LOGIC; + signal \minusOp_carry__0_i_4_n_0\ : STD_LOGIC; + signal \minusOp_carry__0_n_0\ : STD_LOGIC; + signal \minusOp_carry__0_n_1\ : STD_LOGIC; + signal \minusOp_carry__0_n_2\ : STD_LOGIC; + signal \minusOp_carry__0_n_3\ : STD_LOGIC; + signal \minusOp_carry__0_n_4\ : STD_LOGIC; + signal \minusOp_carry__0_n_5\ : STD_LOGIC; + signal \minusOp_carry__0_n_6\ : STD_LOGIC; + signal \minusOp_carry__0_n_7\ : STD_LOGIC; + signal \minusOp_carry__1_i_1_n_0\ : STD_LOGIC; + signal \minusOp_carry__1_i_2_n_0\ : STD_LOGIC; + signal \minusOp_carry__1_i_3_n_0\ : STD_LOGIC; + signal \minusOp_carry__1_i_4_n_0\ : STD_LOGIC; + signal \minusOp_carry__1_n_0\ : STD_LOGIC; + signal \minusOp_carry__1_n_1\ : STD_LOGIC; + signal \minusOp_carry__1_n_2\ : STD_LOGIC; + signal \minusOp_carry__1_n_3\ : STD_LOGIC; + signal \minusOp_carry__1_n_4\ : STD_LOGIC; + signal \minusOp_carry__1_n_5\ : STD_LOGIC; + signal \minusOp_carry__1_n_6\ : STD_LOGIC; + signal \minusOp_carry__1_n_7\ : STD_LOGIC; + signal \minusOp_carry__2_i_1_n_0\ : STD_LOGIC; + signal \minusOp_carry__2_n_7\ : STD_LOGIC; + signal minusOp_carry_i_1_n_0 : STD_LOGIC; + signal minusOp_carry_i_2_n_0 : STD_LOGIC; + signal minusOp_carry_i_3_n_0 : STD_LOGIC; + signal minusOp_carry_i_4_n_0 : STD_LOGIC; + signal minusOp_carry_n_0 : STD_LOGIC; + signal minusOp_carry_n_1 : STD_LOGIC; + signal minusOp_carry_n_2 : STD_LOGIC; + signal minusOp_carry_n_3 : STD_LOGIC; + signal minusOp_carry_n_4 : STD_LOGIC; + signal minusOp_carry_n_5 : STD_LOGIC; + signal minusOp_carry_n_6 : STD_LOGIC; + signal minusOp_carry_n_7 : STD_LOGIC; + signal p_0_in : STD_LOGIC_VECTOR ( 1 to 1 ); + signal \p_0_in__0\ : STD_LOGIC_VECTOR ( 1 to 1 ); + signal \p_0_in__1\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal sSCL : STD_LOGIC; + signal sSDA : STD_LOGIC; + signal sda_chk_i_1_n_0 : STD_LOGIC; + signal sda_chk_reg_n_0 : STD_LOGIC; + signal slave_wait : STD_LOGIC; + signal slave_wait0 : STD_LOGIC; + signal sta_condition : STD_LOGIC; + signal \statemachine.ack_out_i_2_n_0\ : STD_LOGIC; + signal sto_condition : STD_LOGIC; + signal \wb_dat_o[6]_i_3_n_0\ : STD_LOGIC; + signal \NLW_cnt_reg[12]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); + signal \NLW_minusOp_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_minusOp_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \FSM_sequential_c_state[4]_i_3\ : label is "soft_lutpair9"; + attribute KEEP : string; + attribute KEEP of \FSM_sequential_c_state_reg[0]\ : label is "yes"; + attribute KEEP of \FSM_sequential_c_state_reg[1]\ : label is "yes"; + attribute KEEP of \FSM_sequential_c_state_reg[2]\ : label is "yes"; + attribute KEEP of \FSM_sequential_c_state_reg[3]\ : label is "yes"; + attribute KEEP of \FSM_sequential_c_state_reg[4]\ : label is "yes"; + attribute SOFT_HLUTNM of \bus_status_ctrl.cSCL[0]_i_1\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \bus_status_ctrl.cSCL[1]_i_1\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \bus_status_ctrl.cSDA[0]_i_1\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \bus_status_ctrl.cSDA[1]_i_1\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \bus_status_ctrl.dSCL_i_1\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \bus_status_ctrl.dSDA_i_1\ : label is "soft_lutpair10"; + attribute SOFT_HLUTNM of \bus_status_ctrl.fSCL[0]_i_1\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \bus_status_ctrl.fSCL[1]_i_1\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \bus_status_ctrl.fSCL[2]_i_1\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \bus_status_ctrl.fSDA[0]_i_1\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \bus_status_ctrl.fSDA[1]_i_1\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \bus_status_ctrl.fSDA[2]_i_2\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \bus_status_ctrl.ibusy_i_1\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \bus_status_ctrl.sSCL_i_1\ : label is "soft_lutpair10"; + attribute SOFT_HLUTNM of \bus_status_ctrl.sta_condition_i_1\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \bus_status_ctrl.sto_condition_i_1\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of clk_en_i_2 : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \sr[0]_i_1\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \st_irq_block.al_i_1\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \st_irq_block.irq_flag_i_1\ : label is "soft_lutpair9"; + attribute SOFT_HLUTNM of \statemachine.core_cmd[2]_i_1\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \statemachine.core_cmd[3]_i_1\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \statemachine.core_txd_i_1\ : label is "soft_lutpair14"; +begin + i2c_scl_t <= \^i2c_scl_t\; + i2c_sda_t <= \^i2c_sda_t\; + iscl_oen_reg_0 <= \^iscl_oen_reg_0\; +\FSM_sequential_c_state[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"1111111111111110" + ) + port map ( + I0 => \FSM_sequential_c_state[4]_i_3_n_0\, + I1 => c_state(0), + I2 => c_state(2), + I3 => c_state(3), + I4 => \FSM_sequential_c_state[0]_i_2_n_0\, + I5 => c_state(4), + O => \FSM_sequential_c_state[0]_i_1_n_0\ + ); +\FSM_sequential_c_state[0]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAAAABA" + ) + port map ( + I0 => c_state(1), + I1 => \statemachine.core_cmd_reg[3]_0\(1), + I2 => \statemachine.core_cmd_reg[3]_0\(0), + I3 => \statemachine.core_cmd_reg[3]_0\(3), + I4 => \statemachine.core_cmd_reg[3]_0\(2), + O => \FSM_sequential_c_state[0]_i_2_n_0\ + ); +\FSM_sequential_c_state[1]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0400" + ) + port map ( + I0 => i2c_al, + I1 => s00_axi_aresetn, + I2 => c_state(4), + I3 => \FSM_sequential_c_state[1]_i_2_n_0\, + O => \FSM_sequential_c_state[1]_i_1_n_0\ + ); +\FSM_sequential_c_state[1]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"EEEFEFFE44444444" + ) + port map ( + I0 => c_state(0), + I1 => c_state(1), + I2 => \statemachine.core_cmd_reg[3]_0\(1), + I3 => \statemachine.core_cmd_reg[3]_0\(2), + I4 => \statemachine.core_cmd_reg[3]_0\(3), + I5 => \FSM_sequential_c_state[1]_i_3_n_0\, + O => \FSM_sequential_c_state[1]_i_2_n_0\ + ); +\FSM_sequential_c_state[1]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00001101" + ) + port map ( + I0 => c_state(2), + I1 => c_state(1), + I2 => \statemachine.core_cmd_reg[3]_0\(0), + I3 => c_state(0), + I4 => c_state(3), + O => \FSM_sequential_c_state[1]_i_3_n_0\ + ); +\FSM_sequential_c_state[2]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0002A0A2AAAA0002" + ) + port map ( + I0 => \FSM_sequential_c_state[3]_i_2_n_0\, + I1 => c_state(3), + I2 => c_state(1), + I3 => \FSM_sequential_c_state[2]_i_2_n_0\, + I4 => c_state(2), + I5 => c_state(0), + O => \FSM_sequential_c_state[2]_i_1_n_0\ + ); +\FSM_sequential_c_state[2]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FFFFFEEF" + ) + port map ( + I0 => c_state(0), + I1 => \statemachine.core_cmd_reg[3]_0\(3), + I2 => \statemachine.core_cmd_reg[3]_0\(1), + I3 => \statemachine.core_cmd_reg[3]_0\(2), + I4 => \statemachine.core_cmd_reg[3]_0\(0), + O => \FSM_sequential_c_state[2]_i_2_n_0\ + ); +\FSM_sequential_c_state[3]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0AA8A0A800A800A8" + ) + port map ( + I0 => \FSM_sequential_c_state[3]_i_2_n_0\, + I1 => \FSM_sequential_c_state[3]_i_3_n_0\, + I2 => c_state(3), + I3 => c_state(0), + I4 => c_state(2), + I5 => c_state(1), + O => \FSM_sequential_c_state[3]_i_1_n_0\ + ); +\FSM_sequential_c_state[3]_i_2\: unisim.vcomponents.LUT3 + generic map( + INIT => X"04" + ) + port map ( + I0 => c_state(4), + I1 => s00_axi_aresetn, + I2 => i2c_al, + O => \FSM_sequential_c_state[3]_i_2_n_0\ + ); +\FSM_sequential_c_state[3]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000000006" + ) + port map ( + I0 => \statemachine.core_cmd_reg[3]_0\(3), + I1 => \statemachine.core_cmd_reg[3]_0\(2), + I2 => \statemachine.core_cmd_reg[3]_0\(0), + I3 => \statemachine.core_cmd_reg[3]_0\(1), + I4 => c_state(1), + I5 => c_state(2), + O => \FSM_sequential_c_state[3]_i_3_n_0\ + ); +\FSM_sequential_c_state[4]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"BBBBBBBFAAAAAAAA" + ) + port map ( + I0 => \FSM_sequential_c_state[4]_i_3_n_0\, + I1 => c_state(4), + I2 => c_state(3), + I3 => c_state(1), + I4 => c_state(2), + I5 => clk_en, + O => \FSM_sequential_c_state[4]_i_1_n_0\ + ); +\FSM_sequential_c_state[4]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000080FF8000" + ) + port map ( + I0 => c_state(3), + I1 => c_state(1), + I2 => c_state(2), + I3 => c_state(0), + I4 => c_state(4), + I5 => \FSM_sequential_c_state[4]_i_3_n_0\, + O => \FSM_sequential_c_state[4]_i_2_n_0\ + ); +\FSM_sequential_c_state[4]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => i2c_al, + I1 => s00_axi_aresetn, + O => \FSM_sequential_c_state[4]_i_3_n_0\ + ); +\FSM_sequential_c_state_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \FSM_sequential_c_state[4]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \FSM_sequential_c_state[0]_i_1_n_0\, + Q => c_state(0) + ); +\FSM_sequential_c_state_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \FSM_sequential_c_state[4]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \FSM_sequential_c_state[1]_i_1_n_0\, + Q => c_state(1) + ); +\FSM_sequential_c_state_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \FSM_sequential_c_state[4]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \FSM_sequential_c_state[2]_i_1_n_0\, + Q => c_state(2) + ); +\FSM_sequential_c_state_reg[3]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \FSM_sequential_c_state[4]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \FSM_sequential_c_state[3]_i_1_n_0\, + Q => c_state(3) + ); +\FSM_sequential_c_state_reg[4]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \FSM_sequential_c_state[4]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \FSM_sequential_c_state[4]_i_2_n_0\, + Q => c_state(4) + ); +\FSM_sequential_statemachine.c_state[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000022222E22" + ) + port map ( + I0 => \FSM_sequential_statemachine.c_state_reg[1]_1\, + I1 => \out\(2), + I2 => \out\(1), + I3 => \cr_reg[7]\(2), + I4 => \out\(0), + I5 => \FSM_sequential_c_state[4]_i_3_n_0\, + O => \FSM_sequential_statemachine.c_state_reg[2]\(0) + ); +\FSM_sequential_statemachine.c_state[1]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000015100000" + ) + port map ( + I0 => \out\(2), + I1 => cnt_done, + I2 => \out\(1), + I3 => \cr_reg[7]_0\, + I4 => s00_axi_aresetn, + I5 => i2c_al, + O => \FSM_sequential_statemachine.c_state_reg[2]\(1) + ); +\FSM_sequential_statemachine.c_state[2]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"DDFFDDDDFFFDDDFD" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => i2c_al, + I2 => \FSM_sequential_statemachine.c_state[2]_i_3_n_0\, + I3 => \out\(1), + I4 => core_ack, + I5 => \out\(2), + O => E(0) + ); +\FSM_sequential_statemachine.c_state[2]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000022222E22" + ) + port map ( + I0 => \FSM_sequential_statemachine.c_state_reg[1]_2\, + I1 => \out\(2), + I2 => \out\(1), + I3 => \cr_reg[7]\(2), + I4 => \out\(0), + I5 => \FSM_sequential_c_state[4]_i_3_n_0\, + O => \FSM_sequential_statemachine.c_state_reg[2]\(2) + ); +\FSM_sequential_statemachine.c_state[2]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"8B8B8B8B8B8B8B88" + ) + port map ( + I0 => core_ack, + I1 => \out\(0), + I2 => cmd_ack, + I3 => \cr_reg[7]\(0), + I4 => \cr_reg[7]\(1), + I5 => \cr_reg[7]\(2), + O => \FSM_sequential_statemachine.c_state[2]_i_3_n_0\ + ); +\bus_status_ctrl.cSCL[0]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => i2c_scl_i, + O => \bus_status_ctrl.cSCL[0]_i_1_n_0\ + ); +\bus_status_ctrl.cSCL[1]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => \p_0_in__0\(1), + O => \bus_status_ctrl.cSCL[1]_i_1_n_0\ + ); +\bus_status_ctrl.cSCL_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.cSCL[0]_i_1_n_0\, + Q => \p_0_in__0\(1) + ); +\bus_status_ctrl.cSCL_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.cSCL[1]_i_1_n_0\, + Q => \p_0_in__1\(0) + ); +\bus_status_ctrl.cSDA[0]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => i2c_sda_i, + O => \bus_status_ctrl.cSDA[0]_i_1_n_0\ + ); +\bus_status_ctrl.cSDA[1]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => p_0_in(1), + O => \bus_status_ctrl.cSDA[1]_i_1_n_0\ + ); +\bus_status_ctrl.cSDA_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.cSDA[0]_i_1_n_0\, + Q => p_0_in(1) + ); +\bus_status_ctrl.cSDA_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.cSDA[1]_i_1_n_0\, + Q => \bus_status_ctrl.cSDA_reg_n_0_[1]\ + ); +\bus_status_ctrl.cmd_stop_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"04FF000004000000" + ) + port map ( + I0 => \statemachine.core_cmd_reg[3]_0\(0), + I1 => \statemachine.core_cmd_reg[3]_0\(1), + I2 => \bus_status_ctrl.cmd_stop_i_2_n_0\, + I3 => clk_en, + I4 => s00_axi_aresetn, + I5 => \bus_status_ctrl.cmd_stop_reg_n_0\, + O => \bus_status_ctrl.cmd_stop_i_1_n_0\ + ); +\bus_status_ctrl.cmd_stop_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"E" + ) + port map ( + I0 => \statemachine.core_cmd_reg[3]_0\(2), + I1 => \statemachine.core_cmd_reg[3]_0\(3), + O => \bus_status_ctrl.cmd_stop_i_2_n_0\ + ); +\bus_status_ctrl.cmd_stop_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.cmd_stop_i_1_n_0\, + Q => \bus_status_ctrl.cmd_stop_reg_n_0\ + ); +\bus_status_ctrl.dSCL_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => sSCL, + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.dSCL_i_1_n_0\ + ); +\bus_status_ctrl.dSCL_reg\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => '1', + D => \bus_status_ctrl.dSCL_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => dSCL + ); +\bus_status_ctrl.dSDA_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => sSDA, + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.dSDA_i_1_n_0\ + ); +\bus_status_ctrl.dSDA_reg\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => '1', + D => \bus_status_ctrl.dSDA_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => dSDA + ); +\bus_status_ctrl.dout_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FB08" + ) + port map ( + I0 => sSDA, + I1 => sSCL, + I2 => dSCL, + I3 => core_rxd, + O => \bus_status_ctrl.dout_i_1_n_0\ + ); +\bus_status_ctrl.dout_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.dout_i_1_n_0\, + Q => core_rxd + ); +\bus_status_ctrl.fSCL[0]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => \p_0_in__1\(0), + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.fSCL[0]_i_1_n_0\ + ); +\bus_status_ctrl.fSCL[1]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => \p_0_in__1\(1), + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.fSCL[1]_i_1_n_0\ + ); +\bus_status_ctrl.fSCL[2]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => \p_0_in__1\(2), + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.fSCL[2]_i_1_n_0\ + ); +\bus_status_ctrl.fSCL_reg[0]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => \bus_status_ctrl.fSDA[2]_i_1_n_0\, + D => \bus_status_ctrl.fSCL[0]_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => \p_0_in__1\(1) + ); +\bus_status_ctrl.fSCL_reg[1]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => \bus_status_ctrl.fSDA[2]_i_1_n_0\, + D => \bus_status_ctrl.fSCL[1]_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => \p_0_in__1\(2) + ); +\bus_status_ctrl.fSCL_reg[2]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => \bus_status_ctrl.fSDA[2]_i_1_n_0\, + D => \bus_status_ctrl.fSCL[2]_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => \bus_status_ctrl.fSCL_reg_n_0_[2]\ + ); +\bus_status_ctrl.fSDA[0]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => \bus_status_ctrl.cSDA_reg_n_0_[1]\, + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.fSDA[0]_i_1_n_0\ + ); +\bus_status_ctrl.fSDA[1]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => \bus_status_ctrl.fSDA_reg_n_0_[0]\, + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.fSDA[1]_i_1_n_0\ + ); +\bus_status_ctrl.fSDA[2]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.fSDA[2]_i_1_n_0\ + ); +\bus_status_ctrl.fSDA[2]_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => \bus_status_ctrl.fSDA_reg_n_0_[1]\, + I1 => s00_axi_aresetn, + O => \bus_status_ctrl.fSDA[2]_i_2_n_0\ + ); +\bus_status_ctrl.fSDA_reg[0]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => \bus_status_ctrl.fSDA[2]_i_1_n_0\, + D => \bus_status_ctrl.fSDA[0]_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => \bus_status_ctrl.fSDA_reg_n_0_[0]\ + ); +\bus_status_ctrl.fSDA_reg[1]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => \bus_status_ctrl.fSDA[2]_i_1_n_0\, + D => \bus_status_ctrl.fSDA[1]_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => \bus_status_ctrl.fSDA_reg_n_0_[1]\ + ); +\bus_status_ctrl.fSDA_reg[2]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => \bus_status_ctrl.fSDA[2]_i_1_n_0\, + D => \bus_status_ctrl.fSDA[2]_i_2_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => \bus_status_ctrl.fSDA_reg_n_0_[2]\ + ); +\bus_status_ctrl.filter_cnt[0]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"D1000000" + ) + port map ( + I0 => filter_cnt(0), + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(2), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[0]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[10]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__1_n_6\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(12), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[10]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[11]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__1_n_5\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(13), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[11]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[12]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__1_n_4\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(14), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[12]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[13]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__2_n_7\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(15), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[13]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[13]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000000001" + ) + port map ( + I0 => \bus_status_ctrl.filter_cnt[13]_i_3_n_0\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_4_n_0\, + I2 => filter_cnt(6), + I3 => filter_cnt(7), + I4 => filter_cnt(4), + I5 => filter_cnt(5), + O => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\ + ); +\bus_status_ctrl.filter_cnt[13]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFFFFFFFFFFFFE" + ) + port map ( + I0 => filter_cnt(13), + I1 => filter_cnt(12), + I2 => filter_cnt(9), + I3 => filter_cnt(8), + I4 => filter_cnt(11), + I5 => filter_cnt(10), + O => \bus_status_ctrl.filter_cnt[13]_i_3_n_0\ + ); +\bus_status_ctrl.filter_cnt[13]_i_4\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => filter_cnt(2), + I1 => filter_cnt(3), + I2 => filter_cnt(0), + I3 => filter_cnt(1), + O => \bus_status_ctrl.filter_cnt[13]_i_4_n_0\ + ); +\bus_status_ctrl.filter_cnt[1]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => minusOp_carry_n_7, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(3), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[1]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[2]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => minusOp_carry_n_6, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(4), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[2]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[3]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => minusOp_carry_n_5, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(5), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[3]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[4]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => minusOp_carry_n_4, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(6), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[4]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[5]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__0_n_7\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(7), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[5]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[6]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__0_n_6\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(8), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[6]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[7]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__0_n_5\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(9), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[7]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[8]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__0_n_4\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(10), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[8]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt[9]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E2000000" + ) + port map ( + I0 => \minusOp_carry__1_n_7\, + I1 => \bus_status_ctrl.filter_cnt[13]_i_2_n_0\, + I2 => Q(11), + I3 => \ctr_reg[7]\(0), + I4 => s00_axi_aresetn, + O => \bus_status_ctrl.filter_cnt[9]_i_1_n_0\ + ); +\bus_status_ctrl.filter_cnt_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[0]_i_1_n_0\, + Q => filter_cnt(0) + ); +\bus_status_ctrl.filter_cnt_reg[10]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[10]_i_1_n_0\, + Q => filter_cnt(10) + ); +\bus_status_ctrl.filter_cnt_reg[11]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[11]_i_1_n_0\, + Q => filter_cnt(11) + ); +\bus_status_ctrl.filter_cnt_reg[12]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[12]_i_1_n_0\, + Q => filter_cnt(12) + ); +\bus_status_ctrl.filter_cnt_reg[13]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[13]_i_1_n_0\, + Q => filter_cnt(13) + ); +\bus_status_ctrl.filter_cnt_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[1]_i_1_n_0\, + Q => filter_cnt(1) + ); +\bus_status_ctrl.filter_cnt_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[2]_i_1_n_0\, + Q => filter_cnt(2) + ); +\bus_status_ctrl.filter_cnt_reg[3]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[3]_i_1_n_0\, + Q => filter_cnt(3) + ); +\bus_status_ctrl.filter_cnt_reg[4]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[4]_i_1_n_0\, + Q => filter_cnt(4) + ); +\bus_status_ctrl.filter_cnt_reg[5]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[5]_i_1_n_0\, + Q => filter_cnt(5) + ); +\bus_status_ctrl.filter_cnt_reg[6]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[6]_i_1_n_0\, + Q => filter_cnt(6) + ); +\bus_status_ctrl.filter_cnt_reg[7]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[7]_i_1_n_0\, + Q => filter_cnt(7) + ); +\bus_status_ctrl.filter_cnt_reg[8]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[8]_i_1_n_0\, + Q => filter_cnt(8) + ); +\bus_status_ctrl.filter_cnt_reg[9]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \bus_status_ctrl.filter_cnt[9]_i_1_n_0\, + Q => filter_cnt(9) + ); +\bus_status_ctrl.ial_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"08000800AAAA0800" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => sda_chk_reg_n_0, + I2 => sSDA, + I3 => \^i2c_sda_t\, + I4 => \bus_status_ctrl.ial_i_2_n_0\, + I5 => \bus_status_ctrl.ial_i_3_n_0\, + O => ial + ); +\bus_status_ctrl.ial_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"1" + ) + port map ( + I0 => c_state(0), + I1 => c_state(4), + O => \bus_status_ctrl.ial_i_2_n_0\ + ); +\bus_status_ctrl.ial_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FFFFFFEF" + ) + port map ( + I0 => c_state(2), + I1 => c_state(3), + I2 => \bus_status_ctrl.sto_condition_reg_n_0\, + I3 => \bus_status_ctrl.cmd_stop_reg_n_0\, + I4 => c_state(1), + O => \bus_status_ctrl.ial_i_3_n_0\ + ); +\bus_status_ctrl.ial_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => ial, + Q => i2c_al + ); +\bus_status_ctrl.ibusy_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"5400" + ) + port map ( + I0 => \bus_status_ctrl.sto_condition_reg_n_0\, + I1 => \bus_status_ctrl.sta_condition_reg_n_0\, + I2 => i2c_busy, + I3 => s00_axi_aresetn, + O => ibusy + ); +\bus_status_ctrl.ibusy_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => ibusy, + Q => i2c_busy + ); +\bus_status_ctrl.sSCL_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E8FF" + ) + port map ( + I0 => \p_0_in__1\(2), + I1 => \bus_status_ctrl.fSCL_reg_n_0_[2]\, + I2 => \p_0_in__1\(1), + I3 => s00_axi_aresetn, + O => \bus_status_ctrl.sSCL_i_1_n_0\ + ); +\bus_status_ctrl.sSCL_reg\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => '1', + D => \bus_status_ctrl.sSCL_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => sSCL + ); +\bus_status_ctrl.sSDA_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E8FF" + ) + port map ( + I0 => \bus_status_ctrl.fSDA_reg_n_0_[1]\, + I1 => \bus_status_ctrl.fSDA_reg_n_0_[2]\, + I2 => \bus_status_ctrl.fSDA_reg_n_0_[0]\, + I3 => s00_axi_aresetn, + O => \bus_status_ctrl.sSDA_i_1_n_0\ + ); +\bus_status_ctrl.sSDA_reg\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => '1', + D => \bus_status_ctrl.sSDA_i_1_n_0\, + PRE => \^iscl_oen_reg_0\, + Q => sSDA + ); +\bus_status_ctrl.sta_condition_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"2000" + ) + port map ( + I0 => dSDA, + I1 => sSDA, + I2 => s00_axi_aresetn, + I3 => sSCL, + O => sta_condition + ); +\bus_status_ctrl.sta_condition_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => sta_condition, + Q => \bus_status_ctrl.sta_condition_reg_n_0\ + ); +\bus_status_ctrl.sto_condition_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"4000" + ) + port map ( + I0 => dSDA, + I1 => s00_axi_aresetn, + I2 => sSCL, + I3 => sSDA, + O => sto_condition + ); +\bus_status_ctrl.sto_condition_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => sto_condition, + Q => \bus_status_ctrl.sto_condition_reg_n_0\ + ); +clk_en_i_1: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAAAAAB" + ) + port map ( + I0 => clk_en_i_2_n_0, + I1 => clk_en_i_3_n_0, + I2 => clk_en_i_4_n_0, + I3 => clk_en_i_5_n_0, + I4 => clk_en_i_6_n_0, + O => cnt1 + ); +clk_en_i_2: unisim.vcomponents.LUT5 + generic map( + INIT => X"7555FFFF" + ) + port map ( + I0 => \ctr_reg[7]\(0), + I1 => sSCL, + I2 => \^i2c_scl_t\, + I3 => dSCL, + I4 => s00_axi_aresetn, + O => clk_en_i_2_n_0 + ); +clk_en_i_3: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => cnt_reg(6), + I1 => cnt_reg(7), + I2 => cnt_reg(4), + I3 => cnt_reg(5), + O => clk_en_i_3_n_0 + ); +clk_en_i_4: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => cnt_reg(2), + I1 => cnt_reg(3), + I2 => cnt_reg(0), + I3 => cnt_reg(1), + O => clk_en_i_4_n_0 + ); +clk_en_i_5: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => cnt_reg(15), + I1 => cnt_reg(14), + I2 => cnt_reg(12), + I3 => cnt_reg(13), + O => clk_en_i_5_n_0 + ); +clk_en_i_6: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => cnt_reg(10), + I1 => cnt_reg(11), + I2 => cnt_reg(8), + I3 => cnt_reg(9), + O => clk_en_i_6_n_0 + ); +clk_en_reg: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => '1', + D => cnt1, + PRE => \^iscl_oen_reg_0\, + Q => clk_en + ); +cmd_ack_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"0008000000000000" + ) + port map ( + I0 => cmd_ack_i_2_n_0, + I1 => c_state(0), + I2 => c_state(1), + I3 => i2c_al, + I4 => s00_axi_aresetn, + I5 => clk_en, + O => cmd_ack3_out + ); +cmd_ack_i_2: unisim.vcomponents.LUT3 + generic map( + INIT => X"1E" + ) + port map ( + I0 => c_state(2), + I1 => c_state(3), + I2 => c_state(4), + O => cmd_ack_i_2_n_0 + ); +cmd_ack_reg: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => cmd_ack3_out, + Q => core_ack + ); +\cnt[0]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => cnt1, + I1 => slave_wait, + O => \cnt[0]_i_1_n_0\ + ); +\cnt[0]_i_10\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(0), + I1 => Q(0), + I2 => cnt1, + O => \cnt[0]_i_10_n_0\ + ); +\cnt[0]_i_3\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(3), + I1 => cnt1, + I2 => cnt_reg(3), + O => \cnt[0]_i_3_n_0\ + ); +\cnt[0]_i_4\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(2), + I1 => cnt1, + I2 => cnt_reg(2), + O => \cnt[0]_i_4_n_0\ + ); +\cnt[0]_i_5\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(1), + I1 => cnt1, + I2 => cnt_reg(1), + O => \cnt[0]_i_5_n_0\ + ); +\cnt[0]_i_6\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(0), + I1 => cnt1, + I2 => cnt_reg(0), + O => \cnt[0]_i_6_n_0\ + ); +\cnt[0]_i_7\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(3), + I1 => Q(3), + I2 => cnt1, + O => \cnt[0]_i_7_n_0\ + ); +\cnt[0]_i_8\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(2), + I1 => Q(2), + I2 => cnt1, + O => \cnt[0]_i_8_n_0\ + ); +\cnt[0]_i_9\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(1), + I1 => Q(1), + I2 => cnt1, + O => \cnt[0]_i_9_n_0\ + ); +\cnt[12]_i_2\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(14), + I1 => cnt1, + I2 => cnt_reg(14), + O => \cnt[12]_i_2_n_0\ + ); +\cnt[12]_i_3\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(13), + I1 => cnt1, + I2 => cnt_reg(13), + O => \cnt[12]_i_3_n_0\ + ); +\cnt[12]_i_4\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(12), + I1 => cnt1, + I2 => cnt_reg(12), + O => \cnt[12]_i_4_n_0\ + ); +\cnt[12]_i_5\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(15), + I1 => Q(15), + I2 => cnt1, + O => \cnt[12]_i_5_n_0\ + ); +\cnt[12]_i_6\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(14), + I1 => Q(14), + I2 => cnt1, + O => \cnt[12]_i_6_n_0\ + ); +\cnt[12]_i_7\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(13), + I1 => Q(13), + I2 => cnt1, + O => \cnt[12]_i_7_n_0\ + ); +\cnt[12]_i_8\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(12), + I1 => Q(12), + I2 => cnt1, + O => \cnt[12]_i_8_n_0\ + ); +\cnt[4]_i_2\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(7), + I1 => cnt1, + I2 => cnt_reg(7), + O => \cnt[4]_i_2_n_0\ + ); +\cnt[4]_i_3\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(6), + I1 => cnt1, + I2 => cnt_reg(6), + O => \cnt[4]_i_3_n_0\ + ); +\cnt[4]_i_4\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(5), + I1 => cnt1, + I2 => cnt_reg(5), + O => \cnt[4]_i_4_n_0\ + ); +\cnt[4]_i_5\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(4), + I1 => cnt1, + I2 => cnt_reg(4), + O => \cnt[4]_i_5_n_0\ + ); +\cnt[4]_i_6\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(7), + I1 => Q(7), + I2 => cnt1, + O => \cnt[4]_i_6_n_0\ + ); +\cnt[4]_i_7\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(6), + I1 => Q(6), + I2 => cnt1, + O => \cnt[4]_i_7_n_0\ + ); +\cnt[4]_i_8\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(5), + I1 => Q(5), + I2 => cnt1, + O => \cnt[4]_i_8_n_0\ + ); +\cnt[4]_i_9\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(4), + I1 => Q(4), + I2 => cnt1, + O => \cnt[4]_i_9_n_0\ + ); +\cnt[8]_i_2\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(11), + I1 => cnt1, + I2 => cnt_reg(11), + O => \cnt[8]_i_2_n_0\ + ); +\cnt[8]_i_3\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(10), + I1 => cnt1, + I2 => cnt_reg(10), + O => \cnt[8]_i_3_n_0\ + ); +\cnt[8]_i_4\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(9), + I1 => cnt1, + I2 => cnt_reg(9), + O => \cnt[8]_i_4_n_0\ + ); +\cnt[8]_i_5\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => Q(8), + I1 => cnt1, + I2 => cnt_reg(8), + O => \cnt[8]_i_5_n_0\ + ); +\cnt[8]_i_6\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(11), + I1 => Q(11), + I2 => cnt1, + O => \cnt[8]_i_6_n_0\ + ); +\cnt[8]_i_7\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(10), + I1 => Q(10), + I2 => cnt1, + O => \cnt[8]_i_7_n_0\ + ); +\cnt[8]_i_8\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(9), + I1 => Q(9), + I2 => cnt1, + O => \cnt[8]_i_8_n_0\ + ); +\cnt[8]_i_9\: unisim.vcomponents.LUT3 + generic map( + INIT => X"C5" + ) + port map ( + I0 => cnt_reg(8), + I1 => Q(8), + I2 => cnt1, + O => \cnt[8]_i_9_n_0\ + ); +\cnt_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[0]_i_2_n_7\, + Q => cnt_reg(0) + ); +\cnt_reg[0]_i_2\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => \cnt_reg[0]_i_2_n_0\, + CO(2) => \cnt_reg[0]_i_2_n_1\, + CO(1) => \cnt_reg[0]_i_2_n_2\, + CO(0) => \cnt_reg[0]_i_2_n_3\, + CYINIT => '0', + DI(3) => \cnt[0]_i_3_n_0\, + DI(2) => \cnt[0]_i_4_n_0\, + DI(1) => \cnt[0]_i_5_n_0\, + DI(0) => \cnt[0]_i_6_n_0\, + O(3) => \cnt_reg[0]_i_2_n_4\, + O(2) => \cnt_reg[0]_i_2_n_5\, + O(1) => \cnt_reg[0]_i_2_n_6\, + O(0) => \cnt_reg[0]_i_2_n_7\, + S(3) => \cnt[0]_i_7_n_0\, + S(2) => \cnt[0]_i_8_n_0\, + S(1) => \cnt[0]_i_9_n_0\, + S(0) => \cnt[0]_i_10_n_0\ + ); +\cnt_reg[10]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[8]_i_1_n_5\, + Q => cnt_reg(10) + ); +\cnt_reg[11]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[8]_i_1_n_4\, + Q => cnt_reg(11) + ); +\cnt_reg[12]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[12]_i_1_n_7\, + Q => cnt_reg(12) + ); +\cnt_reg[12]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \cnt_reg[8]_i_1_n_0\, + CO(3) => \NLW_cnt_reg[12]_i_1_CO_UNCONNECTED\(3), + CO(2) => \cnt_reg[12]_i_1_n_1\, + CO(1) => \cnt_reg[12]_i_1_n_2\, + CO(0) => \cnt_reg[12]_i_1_n_3\, + CYINIT => '0', + DI(3) => '0', + DI(2) => \cnt[12]_i_2_n_0\, + DI(1) => \cnt[12]_i_3_n_0\, + DI(0) => \cnt[12]_i_4_n_0\, + O(3) => \cnt_reg[12]_i_1_n_4\, + O(2) => \cnt_reg[12]_i_1_n_5\, + O(1) => \cnt_reg[12]_i_1_n_6\, + O(0) => \cnt_reg[12]_i_1_n_7\, + S(3) => \cnt[12]_i_5_n_0\, + S(2) => \cnt[12]_i_6_n_0\, + S(1) => \cnt[12]_i_7_n_0\, + S(0) => \cnt[12]_i_8_n_0\ + ); +\cnt_reg[13]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[12]_i_1_n_6\, + Q => cnt_reg(13) + ); +\cnt_reg[14]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[12]_i_1_n_5\, + Q => cnt_reg(14) + ); +\cnt_reg[15]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[12]_i_1_n_4\, + Q => cnt_reg(15) + ); +\cnt_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[0]_i_2_n_6\, + Q => cnt_reg(1) + ); +\cnt_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[0]_i_2_n_5\, + Q => cnt_reg(2) + ); +\cnt_reg[3]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[0]_i_2_n_4\, + Q => cnt_reg(3) + ); +\cnt_reg[4]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[4]_i_1_n_7\, + Q => cnt_reg(4) + ); +\cnt_reg[4]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \cnt_reg[0]_i_2_n_0\, + CO(3) => \cnt_reg[4]_i_1_n_0\, + CO(2) => \cnt_reg[4]_i_1_n_1\, + CO(1) => \cnt_reg[4]_i_1_n_2\, + CO(0) => \cnt_reg[4]_i_1_n_3\, + CYINIT => '0', + DI(3) => \cnt[4]_i_2_n_0\, + DI(2) => \cnt[4]_i_3_n_0\, + DI(1) => \cnt[4]_i_4_n_0\, + DI(0) => \cnt[4]_i_5_n_0\, + O(3) => \cnt_reg[4]_i_1_n_4\, + O(2) => \cnt_reg[4]_i_1_n_5\, + O(1) => \cnt_reg[4]_i_1_n_6\, + O(0) => \cnt_reg[4]_i_1_n_7\, + S(3) => \cnt[4]_i_6_n_0\, + S(2) => \cnt[4]_i_7_n_0\, + S(1) => \cnt[4]_i_8_n_0\, + S(0) => \cnt[4]_i_9_n_0\ + ); +\cnt_reg[5]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[4]_i_1_n_6\, + Q => cnt_reg(5) + ); +\cnt_reg[6]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[4]_i_1_n_5\, + Q => cnt_reg(6) + ); +\cnt_reg[7]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[4]_i_1_n_4\, + Q => cnt_reg(7) + ); +\cnt_reg[8]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[8]_i_1_n_7\, + Q => cnt_reg(8) + ); +\cnt_reg[8]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \cnt_reg[4]_i_1_n_0\, + CO(3) => \cnt_reg[8]_i_1_n_0\, + CO(2) => \cnt_reg[8]_i_1_n_1\, + CO(1) => \cnt_reg[8]_i_1_n_2\, + CO(0) => \cnt_reg[8]_i_1_n_3\, + CYINIT => '0', + DI(3) => \cnt[8]_i_2_n_0\, + DI(2) => \cnt[8]_i_3_n_0\, + DI(1) => \cnt[8]_i_4_n_0\, + DI(0) => \cnt[8]_i_5_n_0\, + O(3) => \cnt_reg[8]_i_1_n_4\, + O(2) => \cnt_reg[8]_i_1_n_5\, + O(1) => \cnt_reg[8]_i_1_n_6\, + O(0) => \cnt_reg[8]_i_1_n_7\, + S(3) => \cnt[8]_i_6_n_0\, + S(2) => \cnt[8]_i_7_n_0\, + S(1) => \cnt[8]_i_8_n_0\, + S(0) => \cnt[8]_i_9_n_0\ + ); +\cnt_reg[9]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \cnt[0]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => \cnt_reg[8]_i_1_n_6\, + Q => cnt_reg(9) + ); +\cr[7]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"55FDFDFDFFFFFFFF" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => i2c_al, + I2 => cmd_ack, + I3 => iack_o_reg, + I4 => wb_we_o, + I5 => iack_o_reg_0, + O => \cr_reg[4]\(0) + ); +dscl_oen_reg: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => \^i2c_scl_t\, + Q => dscl_oen + ); +iscl_oen_i_1: unisim.vcomponents.LUT5 + generic map( + INIT => X"FBFFFBF3" + ) + port map ( + I0 => iscl_oen, + I1 => s00_axi_aresetn, + I2 => i2c_al, + I3 => \iscl_oen9_out__0\, + I4 => \^i2c_scl_t\, + O => iscl_oen_i_1_n_0 + ); +iscl_oen_i_2: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => s00_axi_aresetn, + O => \^iscl_oen_reg_0\ + ); +iscl_oen_i_3: unisim.vcomponents.LUT5 + generic map( + INIT => X"00F3011F" + ) + port map ( + I0 => c_state(3), + I1 => c_state(2), + I2 => c_state(1), + I3 => c_state(4), + I4 => c_state(0), + O => iscl_oen + ); +iscl_oen_i_4: unisim.vcomponents.LUT5 + generic map( + INIT => X"55560000" + ) + port map ( + I0 => c_state(4), + I1 => c_state(3), + I2 => c_state(2), + I3 => c_state(1), + I4 => clk_en, + O => \iscl_oen9_out__0\ + ); +iscl_oen_reg: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => '1', + D => iscl_oen_i_1_n_0, + PRE => \^iscl_oen_reg_0\, + Q => \^i2c_scl_t\ + ); +isda_oen_i_1: unisim.vcomponents.LUT5 + generic map( + INIT => X"FBFFFBF3" + ) + port map ( + I0 => isda_oen, + I1 => s00_axi_aresetn, + I2 => i2c_al, + I3 => \isda_oen7_out__0\, + I4 => \^i2c_sda_t\, + O => isda_oen_i_1_n_0 + ); +isda_oen_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000C8CB03038F83" + ) + port map ( + I0 => \statemachine.core_txd_reg_0\, + I1 => c_state(3), + I2 => c_state(2), + I3 => c_state(0), + I4 => c_state(4), + I5 => c_state(1), + O => isda_oen + ); +isda_oen_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"0F0F1F1E00000000" + ) + port map ( + I0 => c_state(1), + I1 => c_state(2), + I2 => c_state(4), + I3 => c_state(0), + I4 => c_state(3), + I5 => clk_en, + O => \isda_oen7_out__0\ + ); +isda_oen_reg: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => '1', + D => isda_oen_i_1_n_0, + PRE => \^iscl_oen_reg_0\, + Q => \^i2c_sda_t\ + ); +minusOp_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => minusOp_carry_n_0, + CO(2) => minusOp_carry_n_1, + CO(1) => minusOp_carry_n_2, + CO(0) => minusOp_carry_n_3, + CYINIT => filter_cnt(0), + DI(3 downto 0) => filter_cnt(4 downto 1), + O(3) => minusOp_carry_n_4, + O(2) => minusOp_carry_n_5, + O(1) => minusOp_carry_n_6, + O(0) => minusOp_carry_n_7, + S(3) => minusOp_carry_i_1_n_0, + S(2) => minusOp_carry_i_2_n_0, + S(1) => minusOp_carry_i_3_n_0, + S(0) => minusOp_carry_i_4_n_0 + ); +\minusOp_carry__0\: unisim.vcomponents.CARRY4 + port map ( + CI => minusOp_carry_n_0, + CO(3) => \minusOp_carry__0_n_0\, + CO(2) => \minusOp_carry__0_n_1\, + CO(1) => \minusOp_carry__0_n_2\, + CO(0) => \minusOp_carry__0_n_3\, + CYINIT => '0', + DI(3 downto 0) => filter_cnt(8 downto 5), + O(3) => \minusOp_carry__0_n_4\, + O(2) => \minusOp_carry__0_n_5\, + O(1) => \minusOp_carry__0_n_6\, + O(0) => \minusOp_carry__0_n_7\, + S(3) => \minusOp_carry__0_i_1_n_0\, + S(2) => \minusOp_carry__0_i_2_n_0\, + S(1) => \minusOp_carry__0_i_3_n_0\, + S(0) => \minusOp_carry__0_i_4_n_0\ + ); +\minusOp_carry__0_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(8), + O => \minusOp_carry__0_i_1_n_0\ + ); +\minusOp_carry__0_i_2\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(7), + O => \minusOp_carry__0_i_2_n_0\ + ); +\minusOp_carry__0_i_3\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(6), + O => \minusOp_carry__0_i_3_n_0\ + ); +\minusOp_carry__0_i_4\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(5), + O => \minusOp_carry__0_i_4_n_0\ + ); +\minusOp_carry__1\: unisim.vcomponents.CARRY4 + port map ( + CI => \minusOp_carry__0_n_0\, + CO(3) => \minusOp_carry__1_n_0\, + CO(2) => \minusOp_carry__1_n_1\, + CO(1) => \minusOp_carry__1_n_2\, + CO(0) => \minusOp_carry__1_n_3\, + CYINIT => '0', + DI(3 downto 0) => filter_cnt(12 downto 9), + O(3) => \minusOp_carry__1_n_4\, + O(2) => \minusOp_carry__1_n_5\, + O(1) => \minusOp_carry__1_n_6\, + O(0) => \minusOp_carry__1_n_7\, + S(3) => \minusOp_carry__1_i_1_n_0\, + S(2) => \minusOp_carry__1_i_2_n_0\, + S(1) => \minusOp_carry__1_i_3_n_0\, + S(0) => \minusOp_carry__1_i_4_n_0\ + ); +\minusOp_carry__1_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(12), + O => \minusOp_carry__1_i_1_n_0\ + ); +\minusOp_carry__1_i_2\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(11), + O => \minusOp_carry__1_i_2_n_0\ + ); +\minusOp_carry__1_i_3\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(10), + O => \minusOp_carry__1_i_3_n_0\ + ); +\minusOp_carry__1_i_4\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(9), + O => \minusOp_carry__1_i_4_n_0\ + ); +\minusOp_carry__2\: unisim.vcomponents.CARRY4 + port map ( + CI => \minusOp_carry__1_n_0\, + CO(3 downto 0) => \NLW_minusOp_carry__2_CO_UNCONNECTED\(3 downto 0), + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3 downto 1) => \NLW_minusOp_carry__2_O_UNCONNECTED\(3 downto 1), + O(0) => \minusOp_carry__2_n_7\, + S(3 downto 1) => B"000", + S(0) => \minusOp_carry__2_i_1_n_0\ + ); +\minusOp_carry__2_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(13), + O => \minusOp_carry__2_i_1_n_0\ + ); +minusOp_carry_i_1: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(4), + O => minusOp_carry_i_1_n_0 + ); +minusOp_carry_i_2: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(3), + O => minusOp_carry_i_2_n_0 + ); +minusOp_carry_i_3: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(2), + O => minusOp_carry_i_3_n_0 + ); +minusOp_carry_i_4: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => filter_cnt(1), + O => minusOp_carry_i_4_n_0 + ); +sda_chk_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000100000" + ) + port map ( + I0 => c_state(4), + I1 => c_state(1), + I2 => c_state(3), + I3 => c_state(0), + I4 => c_state(2), + I5 => \FSM_sequential_c_state[4]_i_3_n_0\, + O => sda_chk_i_1_n_0 + ); +sda_chk_reg: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => \FSM_sequential_c_state[4]_i_1_n_0\, + CLR => \^iscl_oen_reg_0\, + D => sda_chk_i_1_n_0, + Q => sda_chk_reg_n_0 + ); +slave_wait_i_1: unisim.vcomponents.LUT4 + generic map( + INIT => X"0F04" + ) + port map ( + I0 => dscl_oen, + I1 => \^i2c_scl_t\, + I2 => sSCL, + I3 => slave_wait, + O => slave_wait0 + ); +slave_wait_reg: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg_0\, + D => slave_wait0, + Q => slave_wait + ); +\sr[0]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E400" + ) + port map ( + I0 => \statemachine.ld_reg_0\, + I1 => core_rxd, + I2 => \txr_reg[6]\(0), + I3 => s00_axi_aresetn, + O => \sr_reg[0]\(0) + ); +\st_irq_block.al_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"AA08" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => \st_irq_block.al_reg\, + I2 => \cr_reg[7]\(3), + I3 => i2c_al, + O => al + ); +\st_irq_block.irq_flag_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"55540000" + ) + port map ( + I0 => \cr_reg[0]\, + I1 => i2c_al, + I2 => cmd_ack, + I3 => irq_flag, + I4 => s00_axi_aresetn, + O => irq_flag1_out + ); +\statemachine.ack_out_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"08FF0800" + ) + port map ( + I0 => core_rxd, + I1 => s00_axi_aresetn, + I2 => i2c_al, + I3 => \statemachine.ack_out_i_2_n_0\, + I4 => ack_out, + O => \statemachine.ack_out_reg\ + ); +\statemachine.ack_out_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"DDDDDDDDDDFDDDDD" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => i2c_al, + I2 => \out\(2), + I3 => \out\(0), + I4 => core_ack, + I5 => \out\(1), + O => \statemachine.ack_out_i_2_n_0\ + ); +\statemachine.core_cmd[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000100000" + ) + port map ( + I0 => \out\(2), + I1 => \out\(0), + I2 => \cr_reg[7]\(3), + I3 => \out\(1), + I4 => s00_axi_aresetn, + I5 => i2c_al, + O => \statemachine.core_cmd_reg[3]\(0) + ); +\statemachine.core_cmd[1]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000022222E22" + ) + port map ( + I0 => \FSM_sequential_statemachine.c_state_reg[1]_0\, + I1 => \out\(2), + I2 => \out\(1), + I3 => \cr_reg[7]\(2), + I4 => \out\(0), + I5 => \FSM_sequential_c_state[4]_i_3_n_0\, + O => \statemachine.core_cmd_reg[3]\(1) + ); +\statemachine.core_cmd[2]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"08" + ) + port map ( + I0 => core_cmd(0), + I1 => s00_axi_aresetn, + I2 => i2c_al, + O => \statemachine.core_cmd_reg[3]\(2) + ); +\statemachine.core_cmd[3]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0040" + ) + port map ( + I0 => \out\(2), + I1 => \FSM_sequential_statemachine.c_state_reg[1]\, + I2 => s00_axi_aresetn, + I3 => i2c_al, + O => \statemachine.core_cmd_reg[3]\(3) + ); +\statemachine.core_txd_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"08" + ) + port map ( + I0 => core_txd, + I1 => s00_axi_aresetn, + I2 => i2c_al, + O => \statemachine.core_txd_reg\ + ); +\statemachine.core_txd_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"5455FFFD10002220" + ) + port map ( + I0 => \out\(2), + I1 => \out\(0), + I2 => ack_in, + I3 => core_ack, + I4 => \out\(1), + I5 => \sr_reg[7]\(0), + O => core_txd + ); +\statemachine.host_ack_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"000000000000A020" + ) + port map ( + I0 => \out\(2), + I1 => \cr_reg[7]\(2), + I2 => core_ack, + I3 => \out\(0), + I4 => \out\(1), + I5 => \FSM_sequential_c_state[4]_i_3_n_0\, + O => \statemachine.host_ack_reg\ + ); +\statemachine.ld_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00000400" + ) + port map ( + I0 => \out\(2), + I1 => \FSM_sequential_statemachine.c_state[2]_i_3_n_0\, + I2 => \out\(1), + I3 => s00_axi_aresetn, + I4 => i2c_al, + O => \statemachine.ld_reg\ + ); +\statemachine.shift_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000004440000" + ) + port map ( + I0 => \out\(2), + I1 => core_ack, + I2 => \out\(0), + I3 => cnt_done, + I4 => \out\(1), + I5 => \FSM_sequential_c_state[4]_i_3_n_0\, + O => \statemachine.shift_reg\ + ); +\wb_dat_o[6]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"30BB3088" + ) + port map ( + I0 => \cr_reg[7]\(2), + I1 => wb_adr_o(1), + I2 => \txr_reg[6]\(1), + I3 => wb_adr_o(0), + I4 => i2c_busy, + O => \wb_dat_o[6]_i_3_n_0\ + ); +\wb_dat_o_reg[6]_i_1\: unisim.vcomponents.MUXF7 + port map ( + I0 => \sr_reg[6]\, + I1 => \wb_dat_o[6]_i_3_n_0\, + O => D(0), + S => wb_adr_o(2) + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_axi_wb_i2c_master_1_0_i2c_master_byte_ctrl is + port ( + iscl_oen_reg : out STD_LOGIC; + i2c_scl_t : out STD_LOGIC; + i2c_sda_t : out STD_LOGIC; + irq_flag1_out : out STD_LOGIC; + rxack_0 : out STD_LOGIC; + al : out STD_LOGIC; + D : out STD_LOGIC_VECTOR ( 7 downto 0 ); + E : out STD_LOGIC_VECTOR ( 0 to 0 ); + s00_axi_aclk : in STD_LOGIC; + s00_axi_aresetn : in STD_LOGIC; + \cr_reg[0]\ : in STD_LOGIC; + irq_flag : in STD_LOGIC; + Q : in STD_LOGIC_VECTOR ( 15 downto 0 ); + \ctr_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); + i2c_sda_i : in STD_LOGIC; + i2c_scl_i : in STD_LOGIC; + \st_irq_block.al_reg\ : in STD_LOGIC; + \cr_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + wb_adr_o : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \cr_reg[0]_0\ : in STD_LOGIC; + \cr_reg[1]\ : in STD_LOGIC; + \cr_reg[2]\ : in STD_LOGIC; + \txr_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); + ack_in : in STD_LOGIC; + \cr_reg[5]\ : in STD_LOGIC; + \cr_reg[7]_0\ : in STD_LOGIC; + iack_o_reg : in STD_LOGIC; + wb_we_o : in STD_LOGIC; + iack_o_reg_0 : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_axi_wb_i2c_master_1_0_i2c_master_byte_ctrl : entity is "i2c_master_byte_ctrl"; +end system_design_axi_wb_i2c_master_1_0_i2c_master_byte_ctrl; + +architecture STRUCTURE of system_design_axi_wb_i2c_master_1_0_i2c_master_byte_ctrl is + signal \FSM_sequential_statemachine.c_state[0]_i_2_n_0\ : STD_LOGIC; + signal \FSM_sequential_statemachine.c_state[1]_i_3_n_0\ : STD_LOGIC; + signal \FSM_sequential_statemachine.c_state[2]_i_4_n_0\ : STD_LOGIC; + signal ack_out : STD_LOGIC; + signal bit_ctrl_n_10 : STD_LOGIC; + signal bit_ctrl_n_11 : STD_LOGIC; + signal bit_ctrl_n_12 : STD_LOGIC; + signal bit_ctrl_n_13 : STD_LOGIC; + signal bit_ctrl_n_14 : STD_LOGIC; + signal bit_ctrl_n_15 : STD_LOGIC; + signal bit_ctrl_n_17 : STD_LOGIC; + signal bit_ctrl_n_18 : STD_LOGIC; + signal bit_ctrl_n_19 : STD_LOGIC; + signal bit_ctrl_n_20 : STD_LOGIC; + signal bit_ctrl_n_7 : STD_LOGIC; + signal bit_ctrl_n_8 : STD_LOGIC; + signal bit_ctrl_n_9 : STD_LOGIC; + signal c_state : STD_LOGIC; + signal \c_state__0\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + attribute RTL_KEEP : string; + attribute RTL_KEEP of \c_state__0\ : signal is "yes"; + signal cmd : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal cmd_ack : STD_LOGIC; + signal cnt_done : STD_LOGIC; + signal core_cmd : STD_LOGIC_VECTOR ( 2 to 2 ); + signal dcnt : STD_LOGIC; + signal \dcnt[0]_i_1_n_0\ : STD_LOGIC; + signal \dcnt[1]_i_1_n_0\ : STD_LOGIC; + signal \dcnt[2]_i_1_n_0\ : STD_LOGIC; + signal \dcnt_reg_n_0_[0]\ : STD_LOGIC; + signal \dcnt_reg_n_0_[1]\ : STD_LOGIC; + signal \dcnt_reg_n_0_[2]\ : STD_LOGIC; + signal dout : STD_LOGIC_VECTOR ( 7 to 7 ); + signal \^iscl_oen_reg\ : STD_LOGIC; + signal \sr[1]_i_1_n_0\ : STD_LOGIC; + signal \sr[2]_i_1_n_0\ : STD_LOGIC; + signal \sr[3]_i_1_n_0\ : STD_LOGIC; + signal \sr[4]_i_1_n_0\ : STD_LOGIC; + signal \sr[5]_i_1_n_0\ : STD_LOGIC; + signal \sr[6]_i_1_n_0\ : STD_LOGIC; + signal \sr[7]_i_2_n_0\ : STD_LOGIC; + signal \sr_reg_n_0_[0]\ : STD_LOGIC; + signal \sr_reg_n_0_[1]\ : STD_LOGIC; + signal \sr_reg_n_0_[2]\ : STD_LOGIC; + signal \sr_reg_n_0_[3]\ : STD_LOGIC; + signal \sr_reg_n_0_[4]\ : STD_LOGIC; + signal \sr_reg_n_0_[5]\ : STD_LOGIC; + signal \sr_reg_n_0_[6]\ : STD_LOGIC; + signal \statemachine.core_cmd[1]_i_2_n_0\ : STD_LOGIC; + signal \statemachine.core_cmd[3]_i_2_n_0\ : STD_LOGIC; + signal \statemachine.core_txd_reg_n_0\ : STD_LOGIC; + signal \statemachine.ld_reg_n_0\ : STD_LOGIC; + signal \statemachine.shift_reg_n_0\ : STD_LOGIC; + signal \wb_dat_o[0]_i_2_n_0\ : STD_LOGIC; + signal \wb_dat_o[1]_i_2_n_0\ : STD_LOGIC; + signal \wb_dat_o[2]_i_2_n_0\ : STD_LOGIC; + signal \wb_dat_o[3]_i_2_n_0\ : STD_LOGIC; + signal \wb_dat_o[4]_i_2_n_0\ : STD_LOGIC; + signal \wb_dat_o[5]_i_2_n_0\ : STD_LOGIC; + signal \wb_dat_o[6]_i_2_n_0\ : STD_LOGIC; + signal \wb_dat_o[7]_i_2_n_0\ : STD_LOGIC; + attribute KEEP : string; + attribute KEEP of \FSM_sequential_statemachine.c_state_reg[0]\ : label is "yes"; + attribute KEEP of \FSM_sequential_statemachine.c_state_reg[1]\ : label is "yes"; + attribute KEEP of \FSM_sequential_statemachine.c_state_reg[2]\ : label is "yes"; + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \dcnt[0]_i_1\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \dcnt[1]_i_1\ : label is "soft_lutpair20"; + attribute SOFT_HLUTNM of \dcnt[2]_i_1\ : label is "soft_lutpair20"; + attribute SOFT_HLUTNM of \sr[1]_i_1\ : label is "soft_lutpair22"; + attribute SOFT_HLUTNM of \sr[2]_i_1\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \st_irq_block.rxack_i_1\ : label is "soft_lutpair22"; +begin + iscl_oen_reg <= \^iscl_oen_reg\; +\FSM_sequential_statemachine.c_state[0]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"43407373" + ) + port map ( + I0 => cnt_done, + I1 => \c_state__0\(1), + I2 => \c_state__0\(0), + I3 => \cr_reg[7]\(3), + I4 => \cr_reg[7]\(1), + O => \FSM_sequential_statemachine.c_state[0]_i_2_n_0\ + ); +\FSM_sequential_statemachine.c_state[1]_i_2\: unisim.vcomponents.LUT3 + generic map( + INIT => X"01" + ) + port map ( + I0 => \dcnt_reg_n_0_[1]\, + I1 => \dcnt_reg_n_0_[0]\, + I2 => \dcnt_reg_n_0_[2]\, + O => cnt_done + ); +\FSM_sequential_statemachine.c_state[1]_i_3\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FF54" + ) + port map ( + I0 => \cr_reg[7]\(3), + I1 => \cr_reg[7]\(1), + I2 => \cr_reg[7]\(0), + I3 => \c_state__0\(0), + O => \FSM_sequential_statemachine.c_state[1]_i_3_n_0\ + ); +\FSM_sequential_statemachine.c_state[2]_i_4\: unisim.vcomponents.LUT6 + generic map( + INIT => X"888888888888888B" + ) + port map ( + I0 => cnt_done, + I1 => \c_state__0\(1), + I2 => \cr_reg[7]\(3), + I3 => \cr_reg[7]\(0), + I4 => \cr_reg[7]\(1), + I5 => \c_state__0\(0), + O => \FSM_sequential_statemachine.c_state[2]_i_4_n_0\ + ); +\FSM_sequential_statemachine.c_state_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => c_state, + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_20, + Q => \c_state__0\(0) + ); +\FSM_sequential_statemachine.c_state_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => c_state, + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_19, + Q => \c_state__0\(1) + ); +\FSM_sequential_statemachine.c_state_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => c_state, + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_18, + Q => \c_state__0\(2) + ); +bit_ctrl: entity work.system_design_axi_wb_i2c_master_1_0_i2c_master_bit_ctrl + port map ( + D(0) => D(6), + E(0) => c_state, + \FSM_sequential_statemachine.c_state_reg[1]\ => \statemachine.core_cmd[3]_i_2_n_0\, + \FSM_sequential_statemachine.c_state_reg[1]_0\ => \statemachine.core_cmd[1]_i_2_n_0\, + \FSM_sequential_statemachine.c_state_reg[1]_1\ => \FSM_sequential_statemachine.c_state[0]_i_2_n_0\, + \FSM_sequential_statemachine.c_state_reg[1]_2\ => \FSM_sequential_statemachine.c_state[2]_i_4_n_0\, + \FSM_sequential_statemachine.c_state_reg[2]\(2) => bit_ctrl_n_18, + \FSM_sequential_statemachine.c_state_reg[2]\(1) => bit_ctrl_n_19, + \FSM_sequential_statemachine.c_state_reg[2]\(0) => bit_ctrl_n_20, + Q(15 downto 0) => Q(15 downto 0), + ack_in => ack_in, + ack_out => ack_out, + al => al, + cmd_ack => cmd_ack, + cnt_done => cnt_done, + core_cmd(0) => core_cmd(2), + \cr_reg[0]\ => \cr_reg[0]\, + \cr_reg[4]\(0) => E(0), + \cr_reg[7]\(3 downto 0) => \cr_reg[7]\(3 downto 0), + \cr_reg[7]_0\ => \FSM_sequential_statemachine.c_state[1]_i_3_n_0\, + \ctr_reg[7]\(0) => \ctr_reg[7]\(7), + i2c_scl_i => i2c_scl_i, + i2c_scl_t => i2c_scl_t, + i2c_sda_i => i2c_sda_i, + i2c_sda_t => i2c_sda_t, + iack_o_reg => iack_o_reg, + iack_o_reg_0 => iack_o_reg_0, + irq_flag => irq_flag, + irq_flag1_out => irq_flag1_out, + iscl_oen_reg_0 => \^iscl_oen_reg\, + \out\(2 downto 0) => \c_state__0\(2 downto 0), + s00_axi_aclk => s00_axi_aclk, + s00_axi_aresetn => s00_axi_aresetn, + \sr_reg[0]\(0) => bit_ctrl_n_17, + \sr_reg[6]\ => \wb_dat_o[6]_i_2_n_0\, + \sr_reg[7]\(0) => dout(7), + \st_irq_block.al_reg\ => \st_irq_block.al_reg\, + \statemachine.ack_out_reg\ => bit_ctrl_n_15, + \statemachine.core_cmd_reg[3]\(3) => bit_ctrl_n_7, + \statemachine.core_cmd_reg[3]\(2) => bit_ctrl_n_8, + \statemachine.core_cmd_reg[3]\(1) => bit_ctrl_n_9, + \statemachine.core_cmd_reg[3]\(0) => bit_ctrl_n_10, + \statemachine.core_cmd_reg[3]_0\(3 downto 0) => cmd(3 downto 0), + \statemachine.core_txd_reg\ => bit_ctrl_n_12, + \statemachine.core_txd_reg_0\ => \statemachine.core_txd_reg_n_0\, + \statemachine.host_ack_reg\ => bit_ctrl_n_14, + \statemachine.ld_reg\ => bit_ctrl_n_11, + \statemachine.ld_reg_0\ => \statemachine.ld_reg_n_0\, + \statemachine.shift_reg\ => bit_ctrl_n_13, + \txr_reg[6]\(1) => \txr_reg[7]\(6), + \txr_reg[6]\(0) => \txr_reg[7]\(0), + wb_adr_o(2 downto 0) => wb_adr_o(2 downto 0), + wb_we_o => wb_we_o + ); +\dcnt[0]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"8A" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => \statemachine.ld_reg_n_0\, + I2 => \dcnt_reg_n_0_[0]\, + O => \dcnt[0]_i_1_n_0\ + ); +\dcnt[1]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"A88A" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => \statemachine.ld_reg_n_0\, + I2 => \dcnt_reg_n_0_[0]\, + I3 => \dcnt_reg_n_0_[1]\, + O => \dcnt[1]_i_1_n_0\ + ); +\dcnt[2]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAA8888A" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => \statemachine.ld_reg_n_0\, + I2 => \dcnt_reg_n_0_[1]\, + I3 => \dcnt_reg_n_0_[0]\, + I4 => \dcnt_reg_n_0_[2]\, + O => \dcnt[2]_i_1_n_0\ + ); +\dcnt_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \dcnt[0]_i_1_n_0\, + Q => \dcnt_reg_n_0_[0]\ + ); +\dcnt_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \dcnt[1]_i_1_n_0\, + Q => \dcnt_reg_n_0_[1]\ + ); +\dcnt_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \dcnt[2]_i_1_n_0\, + Q => \dcnt_reg_n_0_[2]\ + ); +\sr[1]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E400" + ) + port map ( + I0 => \statemachine.ld_reg_n_0\, + I1 => \sr_reg_n_0_[0]\, + I2 => \txr_reg[7]\(1), + I3 => s00_axi_aresetn, + O => \sr[1]_i_1_n_0\ + ); +\sr[2]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E400" + ) + port map ( + I0 => \statemachine.ld_reg_n_0\, + I1 => \sr_reg_n_0_[1]\, + I2 => \txr_reg[7]\(2), + I3 => s00_axi_aresetn, + O => \sr[2]_i_1_n_0\ + ); +\sr[3]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E400" + ) + port map ( + I0 => \statemachine.ld_reg_n_0\, + I1 => \sr_reg_n_0_[2]\, + I2 => \txr_reg[7]\(3), + I3 => s00_axi_aresetn, + O => \sr[3]_i_1_n_0\ + ); +\sr[4]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E400" + ) + port map ( + I0 => \statemachine.ld_reg_n_0\, + I1 => \sr_reg_n_0_[3]\, + I2 => \txr_reg[7]\(4), + I3 => s00_axi_aresetn, + O => \sr[4]_i_1_n_0\ + ); +\sr[5]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E400" + ) + port map ( + I0 => \statemachine.ld_reg_n_0\, + I1 => \sr_reg_n_0_[4]\, + I2 => \txr_reg[7]\(5), + I3 => s00_axi_aresetn, + O => \sr[5]_i_1_n_0\ + ); +\sr[6]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E400" + ) + port map ( + I0 => \statemachine.ld_reg_n_0\, + I1 => \sr_reg_n_0_[5]\, + I2 => \txr_reg[7]\(6), + I3 => s00_axi_aresetn, + O => \sr[6]_i_1_n_0\ + ); +\sr[7]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"FB" + ) + port map ( + I0 => \statemachine.ld_reg_n_0\, + I1 => s00_axi_aresetn, + I2 => \statemachine.shift_reg_n_0\, + O => dcnt + ); +\sr[7]_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"E400" + ) + port map ( + I0 => \statemachine.ld_reg_n_0\, + I1 => \sr_reg_n_0_[6]\, + I2 => \txr_reg[7]\(7), + I3 => s00_axi_aresetn, + O => \sr[7]_i_2_n_0\ + ); +\sr_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_17, + Q => \sr_reg_n_0_[0]\ + ); +\sr_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \sr[1]_i_1_n_0\, + Q => \sr_reg_n_0_[1]\ + ); +\sr_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \sr[2]_i_1_n_0\, + Q => \sr_reg_n_0_[2]\ + ); +\sr_reg[3]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \sr[3]_i_1_n_0\, + Q => \sr_reg_n_0_[3]\ + ); +\sr_reg[4]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \sr[4]_i_1_n_0\, + Q => \sr_reg_n_0_[4]\ + ); +\sr_reg[5]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \sr[5]_i_1_n_0\, + Q => \sr_reg_n_0_[5]\ + ); +\sr_reg[6]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \sr[6]_i_1_n_0\, + Q => \sr_reg_n_0_[6]\ + ); +\sr_reg[7]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => dcnt, + CLR => \^iscl_oen_reg\, + D => \sr[7]_i_2_n_0\, + Q => dout(7) + ); +\st_irq_block.rxack_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => ack_out, + O => rxack_0 + ); +\statemachine.ack_out_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_15, + Q => ack_out + ); +\statemachine.core_cmd[1]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00000001" + ) + port map ( + I0 => \c_state__0\(1), + I1 => \c_state__0\(0), + I2 => \cr_reg[7]\(3), + I3 => \cr_reg[7]\(0), + I4 => \cr_reg[7]\(1), + O => \statemachine.core_cmd[1]_i_2_n_0\ + ); +\statemachine.core_cmd[2]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00000000F0C40FC4" + ) + port map ( + I0 => \cr_reg[7]\(3), + I1 => \cr_reg[7]\(1), + I2 => \c_state__0\(0), + I3 => \c_state__0\(1), + I4 => cnt_done, + I5 => \c_state__0\(2), + O => core_cmd(2) + ); +\statemachine.core_cmd[3]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"4848484878787B78" + ) + port map ( + I0 => cnt_done, + I1 => \c_state__0\(1), + I2 => \c_state__0\(0), + I3 => \cr_reg[7]\(0), + I4 => \cr_reg[7]\(3), + I5 => \cr_reg[7]\(1), + O => \statemachine.core_cmd[3]_i_2_n_0\ + ); +\statemachine.core_cmd_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => c_state, + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_10, + Q => cmd(0) + ); +\statemachine.core_cmd_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => c_state, + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_9, + Q => cmd(1) + ); +\statemachine.core_cmd_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => c_state, + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_8, + Q => cmd(2) + ); +\statemachine.core_cmd_reg[3]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => c_state, + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_7, + Q => cmd(3) + ); +\statemachine.core_txd_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_12, + Q => \statemachine.core_txd_reg_n_0\ + ); +\statemachine.host_ack_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_14, + Q => cmd_ack + ); +\statemachine.ld_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_11, + Q => \statemachine.ld_reg_n_0\ + ); +\statemachine.shift_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^iscl_oen_reg\, + D => bit_ctrl_n_13, + Q => \statemachine.shift_reg_n_0\ + ); +\wb_dat_o[0]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0CFCFAFA0C0C0" + ) + port map ( + I0 => \sr_reg_n_0_[0]\, + I1 => \ctr_reg[7]\(0), + I2 => wb_adr_o(1), + I3 => Q(8), + I4 => wb_adr_o(0), + I5 => Q(0), + O => \wb_dat_o[0]_i_2_n_0\ + ); +\wb_dat_o[1]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0CFCFAFA0C0C0" + ) + port map ( + I0 => \sr_reg_n_0_[1]\, + I1 => \ctr_reg[7]\(1), + I2 => wb_adr_o(1), + I3 => Q(9), + I4 => wb_adr_o(0), + I5 => Q(1), + O => \wb_dat_o[1]_i_2_n_0\ + ); +\wb_dat_o[2]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3808FFFF38080000" + ) + port map ( + I0 => \cr_reg[2]\, + I1 => wb_adr_o(1), + I2 => wb_adr_o(0), + I3 => \txr_reg[7]\(2), + I4 => wb_adr_o(2), + I5 => \wb_dat_o[2]_i_2_n_0\, + O => D(2) + ); +\wb_dat_o[2]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0CFCFAFA0C0C0" + ) + port map ( + I0 => \sr_reg_n_0_[2]\, + I1 => \ctr_reg[7]\(2), + I2 => wb_adr_o(1), + I3 => Q(10), + I4 => wb_adr_o(0), + I5 => Q(2), + O => \wb_dat_o[2]_i_2_n_0\ + ); +\wb_dat_o[3]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3808FFFF38080000" + ) + port map ( + I0 => ack_in, + I1 => wb_adr_o(1), + I2 => wb_adr_o(0), + I3 => \txr_reg[7]\(3), + I4 => wb_adr_o(2), + I5 => \wb_dat_o[3]_i_2_n_0\, + O => D(3) + ); +\wb_dat_o[3]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0CFCFAFA0C0C0" + ) + port map ( + I0 => \sr_reg_n_0_[3]\, + I1 => \ctr_reg[7]\(3), + I2 => wb_adr_o(1), + I3 => Q(11), + I4 => wb_adr_o(0), + I5 => Q(3), + O => \wb_dat_o[3]_i_2_n_0\ + ); +\wb_dat_o[4]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3808FFFF38080000" + ) + port map ( + I0 => \cr_reg[7]\(0), + I1 => wb_adr_o(1), + I2 => wb_adr_o(0), + I3 => \txr_reg[7]\(4), + I4 => wb_adr_o(2), + I5 => \wb_dat_o[4]_i_2_n_0\, + O => D(4) + ); +\wb_dat_o[4]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0CFCFAFA0C0C0" + ) + port map ( + I0 => \sr_reg_n_0_[4]\, + I1 => \ctr_reg[7]\(4), + I2 => wb_adr_o(1), + I3 => Q(12), + I4 => wb_adr_o(0), + I5 => Q(4), + O => \wb_dat_o[4]_i_2_n_0\ + ); +\wb_dat_o[5]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0CFCFAFA0C0C0" + ) + port map ( + I0 => \sr_reg_n_0_[5]\, + I1 => \ctr_reg[7]\(5), + I2 => wb_adr_o(1), + I3 => Q(13), + I4 => wb_adr_o(0), + I5 => Q(5), + O => \wb_dat_o[5]_i_2_n_0\ + ); +\wb_dat_o[6]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0CFCFAFA0C0C0" + ) + port map ( + I0 => \sr_reg_n_0_[6]\, + I1 => \ctr_reg[7]\(6), + I2 => wb_adr_o(1), + I3 => Q(14), + I4 => wb_adr_o(0), + I5 => Q(6), + O => \wb_dat_o[6]_i_2_n_0\ + ); +\wb_dat_o[7]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AFA0CFCFAFA0C0C0" + ) + port map ( + I0 => dout(7), + I1 => \ctr_reg[7]\(7), + I2 => wb_adr_o(1), + I3 => Q(15), + I4 => wb_adr_o(0), + I5 => Q(7), + O => \wb_dat_o[7]_i_2_n_0\ + ); +\wb_dat_o_reg[0]_i_1\: unisim.vcomponents.MUXF7 + port map ( + I0 => \wb_dat_o[0]_i_2_n_0\, + I1 => \cr_reg[0]_0\, + O => D(0), + S => wb_adr_o(2) + ); +\wb_dat_o_reg[1]_i_1\: unisim.vcomponents.MUXF7 + port map ( + I0 => \wb_dat_o[1]_i_2_n_0\, + I1 => \cr_reg[1]\, + O => D(1), + S => wb_adr_o(2) + ); +\wb_dat_o_reg[5]_i_1\: unisim.vcomponents.MUXF7 + port map ( + I0 => \wb_dat_o[5]_i_2_n_0\, + I1 => \cr_reg[5]\, + O => D(5), + S => wb_adr_o(2) + ); +\wb_dat_o_reg[7]_i_1\: unisim.vcomponents.MUXF7 + port map ( + I0 => \wb_dat_o[7]_i_2_n_0\, + I1 => \cr_reg[7]_0\, + O => D(7), + S => wb_adr_o(2) + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_axi_wb_i2c_master_1_0_i2c_master_top is + port ( + wb_ack_i : out STD_LOGIC; + wb_rst_o : out STD_LOGIC; + i2c_scl_t : out STD_LOGIC; + axi_int_o : out STD_LOGIC; + i2c_sda_t : out STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_stb_r_reg : out STD_LOGIC; + \s_rdata_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \s_rdata_reg[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); + s_stb_r_reg_0 : in STD_LOGIC; + s00_axi_aclk : in STD_LOGIC; + s00_axi_aresetn : in STD_LOGIC; + i2c_sda_i : in STD_LOGIC; + i2c_scl_i : in STD_LOGIC; + s00_axi_wdata : in STD_LOGIC_VECTOR ( 7 downto 0 ); + wb_adr_o : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_awvalid : in STD_LOGIC; + s00_axi_arvalid : in STD_LOGIC; + wb_cyc_o : in STD_LOGIC; + wb_we_o : in STD_LOGIC; + iack_o_reg_0 : in STD_LOGIC; + E : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_we_r_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_we_r_reg_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); + D : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \s_addr_reg[4]\ : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_axi_wb_i2c_master_1_0_i2c_master_top : entity is "i2c_master_top"; +end system_design_axi_wb_i2c_master_1_0_i2c_master_top; + +architecture STRUCTURE of system_design_axi_wb_i2c_master_1_0_i2c_master_top is + signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal ack_in : STD_LOGIC; + signal al : STD_LOGIC; + signal byte_ctrl_n_14 : STD_LOGIC; + signal \cr[0]_i_1_n_0\ : STD_LOGIC; + signal \cr[1]_i_1_n_0\ : STD_LOGIC; + signal \cr[2]_i_1_n_0\ : STD_LOGIC; + signal \cr[3]_i_1_n_0\ : STD_LOGIC; + signal \cr_reg_n_0_[0]\ : STD_LOGIC; + signal \cr_reg_n_0_[1]\ : STD_LOGIC; + signal \cr_reg_n_0_[2]\ : STD_LOGIC; + signal ctr : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \ctr_reg_n_0_[0]\ : STD_LOGIC; + signal \ctr_reg_n_0_[1]\ : STD_LOGIC; + signal \ctr_reg_n_0_[2]\ : STD_LOGIC; + signal \ctr_reg_n_0_[3]\ : STD_LOGIC; + signal \ctr_reg_n_0_[4]\ : STD_LOGIC; + signal \ctr_reg_n_0_[5]\ : STD_LOGIC; + signal data0 : STD_LOGIC_VECTOR ( 13 downto 0 ); + signal ien : STD_LOGIC; + signal irq_flag : STD_LOGIC; + signal irq_flag1_out : STD_LOGIC; + signal \prer[10]_i_1_n_0\ : STD_LOGIC; + signal \prer[11]_i_1_n_0\ : STD_LOGIC; + signal \prer[12]_i_1_n_0\ : STD_LOGIC; + signal \prer[13]_i_1_n_0\ : STD_LOGIC; + signal \prer[14]_i_1_n_0\ : STD_LOGIC; + signal \prer[15]_i_2_n_0\ : STD_LOGIC; + signal \prer[8]_i_1_n_0\ : STD_LOGIC; + signal \prer[9]_i_1_n_0\ : STD_LOGIC; + signal \prer_reg_n_0_[0]\ : STD_LOGIC; + signal \prer_reg_n_0_[1]\ : STD_LOGIC; + signal read : STD_LOGIC; + signal rxack : STD_LOGIC; + signal rxack_0 : STD_LOGIC; + signal \st_irq_block.al_reg_n_0\ : STD_LOGIC; + signal \st_irq_block.wb_inta_o_i_1_n_0\ : STD_LOGIC; + signal start : STD_LOGIC; + signal stop : STD_LOGIC; + signal tip : STD_LOGIC; + signal tip_1 : STD_LOGIC; + signal txr : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \^wb_ack_i\ : STD_LOGIC; + signal wb_dat_o : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \wb_dat_o[0]_i_3_n_0\ : STD_LOGIC; + signal \wb_dat_o[1]_i_3_n_0\ : STD_LOGIC; + signal \wb_dat_o[5]_i_3_n_0\ : STD_LOGIC; + signal \wb_dat_o[7]_i_3_n_0\ : STD_LOGIC; + signal \^wb_rst_o\ : STD_LOGIC; + signal write : STD_LOGIC; + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \cr[3]_i_1\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \ctr[0]_i_1\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \ctr[1]_i_1\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \ctr[2]_i_1\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \ctr[3]_i_1\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \ctr[4]_i_1\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \ctr[5]_i_1\ : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of \ctr[6]_i_1\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \ctr[7]_i_2\ : label is "soft_lutpair32"; + attribute SOFT_HLUTNM of \prer[10]_i_1\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \prer[12]_i_1\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \prer[13]_i_1\ : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of \prer[14]_i_1\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \prer[15]_i_2\ : label is "soft_lutpair32"; + attribute SOFT_HLUTNM of \prer[8]_i_1\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \prer[9]_i_1\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \s_rdata[7]_i_1\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of s_stb_r_i_1 : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of \st_irq_block.tip_i_1\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \st_irq_block.wb_inta_o_i_1\ : label is "soft_lutpair25"; +begin + Q(0) <= \^q\(0); + wb_ack_i <= \^wb_ack_i\; + wb_rst_o <= \^wb_rst_o\; +byte_ctrl: entity work.system_design_axi_wb_i2c_master_1_0_i2c_master_byte_ctrl + port map ( + D(7 downto 0) => wb_dat_o(7 downto 0), + E(0) => byte_ctrl_n_14, + Q(15 downto 2) => data0(13 downto 0), + Q(1) => \prer_reg_n_0_[1]\, + Q(0) => \prer_reg_n_0_[0]\, + ack_in => ack_in, + al => al, + \cr_reg[0]\ => \cr_reg_n_0_[0]\, + \cr_reg[0]_0\ => \wb_dat_o[0]_i_3_n_0\, + \cr_reg[1]\ => \wb_dat_o[1]_i_3_n_0\, + \cr_reg[2]\ => \cr_reg_n_0_[2]\, + \cr_reg[5]\ => \wb_dat_o[5]_i_3_n_0\, + \cr_reg[7]\(3) => start, + \cr_reg[7]\(2) => stop, + \cr_reg[7]\(1) => read, + \cr_reg[7]\(0) => write, + \cr_reg[7]_0\ => \wb_dat_o[7]_i_3_n_0\, + \ctr_reg[7]\(7) => \^q\(0), + \ctr_reg[7]\(6) => ien, + \ctr_reg[7]\(5) => \ctr_reg_n_0_[5]\, + \ctr_reg[7]\(4) => \ctr_reg_n_0_[4]\, + \ctr_reg[7]\(3) => \ctr_reg_n_0_[3]\, + \ctr_reg[7]\(2) => \ctr_reg_n_0_[2]\, + \ctr_reg[7]\(1) => \ctr_reg_n_0_[1]\, + \ctr_reg[7]\(0) => \ctr_reg_n_0_[0]\, + i2c_scl_i => i2c_scl_i, + i2c_scl_t => i2c_scl_t, + i2c_sda_i => i2c_sda_i, + i2c_sda_t => i2c_sda_t, + iack_o_reg => \^wb_ack_i\, + iack_o_reg_0 => iack_o_reg_0, + irq_flag => irq_flag, + irq_flag1_out => irq_flag1_out, + iscl_oen_reg => \^wb_rst_o\, + rxack_0 => rxack_0, + s00_axi_aclk => s00_axi_aclk, + s00_axi_aresetn => s00_axi_aresetn, + \st_irq_block.al_reg\ => \st_irq_block.al_reg_n_0\, + \txr_reg[7]\(7 downto 0) => txr(7 downto 0), + wb_adr_o(2 downto 0) => wb_adr_o(2 downto 0), + wb_we_o => wb_we_o + ); +\cr[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"8000FFFF80000000" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(0), + I2 => wb_we_o, + I3 => \^wb_ack_i\, + I4 => \s_addr_reg[4]\, + I5 => \cr_reg_n_0_[0]\, + O => \cr[0]_i_1_n_0\ + ); +\cr[1]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"8000FFFF80000000" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(1), + I2 => wb_we_o, + I3 => \^wb_ack_i\, + I4 => \s_addr_reg[4]\, + I5 => \cr_reg_n_0_[1]\, + O => \cr[1]_i_1_n_0\ + ); +\cr[2]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"8000FFFF80000000" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(2), + I2 => wb_we_o, + I3 => \^wb_ack_i\, + I4 => \s_addr_reg[4]\, + I5 => \cr_reg_n_0_[2]\, + O => \cr[2]_i_1_n_0\ + ); +\cr[3]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"C808" + ) + port map ( + I0 => s00_axi_wdata(3), + I1 => s00_axi_aresetn, + I2 => iack_o_reg_0, + I3 => ack_in, + O => \cr[3]_i_1_n_0\ + ); +\cr_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => \cr[0]_i_1_n_0\, + Q => \cr_reg_n_0_[0]\ + ); +\cr_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => \cr[1]_i_1_n_0\, + Q => \cr_reg_n_0_[1]\ + ); +\cr_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => \cr[2]_i_1_n_0\, + Q => \cr_reg_n_0_[2]\ + ); +\cr_reg[3]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => \cr[3]_i_1_n_0\, + Q => ack_in + ); +\cr_reg[4]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => byte_ctrl_n_14, + CLR => \^wb_rst_o\, + D => D(0), + Q => write + ); +\cr_reg[5]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => byte_ctrl_n_14, + CLR => \^wb_rst_o\, + D => D(1), + Q => read + ); +\cr_reg[6]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => byte_ctrl_n_14, + CLR => \^wb_rst_o\, + D => D(2), + Q => stop + ); +\cr_reg[7]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => byte_ctrl_n_14, + CLR => \^wb_rst_o\, + D => D(3), + Q => start + ); +\ctr[0]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(0), + O => ctr(0) + ); +\ctr[1]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(1), + O => ctr(1) + ); +\ctr[2]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(2), + O => ctr(2) + ); +\ctr[3]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(3), + O => ctr(3) + ); +\ctr[4]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(4), + O => ctr(4) + ); +\ctr[5]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(5), + O => ctr(5) + ); +\ctr[6]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(6), + O => ctr(6) + ); +\ctr[7]_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => s00_axi_wdata(7), + O => ctr(7) + ); +\ctr_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg(0), + CLR => \^wb_rst_o\, + D => ctr(0), + Q => \ctr_reg_n_0_[0]\ + ); +\ctr_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg(0), + CLR => \^wb_rst_o\, + D => ctr(1), + Q => \ctr_reg_n_0_[1]\ + ); +\ctr_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg(0), + CLR => \^wb_rst_o\, + D => ctr(2), + Q => \ctr_reg_n_0_[2]\ + ); +\ctr_reg[3]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg(0), + CLR => \^wb_rst_o\, + D => ctr(3), + Q => \ctr_reg_n_0_[3]\ + ); +\ctr_reg[4]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg(0), + CLR => \^wb_rst_o\, + D => ctr(4), + Q => \ctr_reg_n_0_[4]\ + ); +\ctr_reg[5]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg(0), + CLR => \^wb_rst_o\, + D => ctr(5), + Q => \ctr_reg_n_0_[5]\ + ); +\ctr_reg[6]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg(0), + CLR => \^wb_rst_o\, + D => ctr(6), + Q => ien + ); +\ctr_reg[7]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg(0), + CLR => \^wb_rst_o\, + D => ctr(7), + Q => \^q\(0) + ); +iack_o_reg: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => s_stb_r_reg_0, + Q => \^wb_ack_i\, + R => '0' + ); +\prer[10]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => s00_axi_wdata(2), + I1 => s00_axi_aresetn, + O => \prer[10]_i_1_n_0\ + ); +\prer[11]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => s00_axi_wdata(3), + I1 => s00_axi_aresetn, + O => \prer[11]_i_1_n_0\ + ); +\prer[12]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => s00_axi_wdata(4), + I1 => s00_axi_aresetn, + O => \prer[12]_i_1_n_0\ + ); +\prer[13]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => s00_axi_wdata(5), + I1 => s00_axi_aresetn, + O => \prer[13]_i_1_n_0\ + ); +\prer[14]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => s00_axi_wdata(6), + I1 => s00_axi_aresetn, + O => \prer[14]_i_1_n_0\ + ); +\prer[15]_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => s00_axi_wdata(7), + I1 => s00_axi_aresetn, + O => \prer[15]_i_2_n_0\ + ); +\prer[8]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => s00_axi_wdata(0), + I1 => s00_axi_aresetn, + O => \prer[8]_i_1_n_0\ + ); +\prer[9]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => s00_axi_wdata(1), + I1 => s00_axi_aresetn, + O => \prer[9]_i_1_n_0\ + ); +\prer_reg[0]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(0), + D => \prer[8]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => \prer_reg_n_0_[0]\ + ); +\prer_reg[10]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(1), + D => \prer[10]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(8) + ); +\prer_reg[11]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(1), + D => \prer[11]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(9) + ); +\prer_reg[12]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(1), + D => \prer[12]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(10) + ); +\prer_reg[13]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(1), + D => \prer[13]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(11) + ); +\prer_reg[14]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(1), + D => \prer[14]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(12) + ); +\prer_reg[15]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(1), + D => \prer[15]_i_2_n_0\, + PRE => \^wb_rst_o\, + Q => data0(13) + ); +\prer_reg[1]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(0), + D => \prer[9]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => \prer_reg_n_0_[1]\ + ); +\prer_reg[2]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(0), + D => \prer[10]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(0) + ); +\prer_reg[3]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(0), + D => \prer[11]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(1) + ); +\prer_reg[4]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(0), + D => \prer[12]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(2) + ); +\prer_reg[5]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(0), + D => \prer[13]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(3) + ); +\prer_reg[6]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(0), + D => \prer[14]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(4) + ); +\prer_reg[7]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(0), + D => \prer[15]_i_2_n_0\, + PRE => \^wb_rst_o\, + Q => data0(5) + ); +\prer_reg[8]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(1), + D => \prer[8]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(6) + ); +\prer_reg[9]\: unisim.vcomponents.FDPE + port map ( + C => s00_axi_aclk, + CE => E(1), + D => \prer[9]_i_1_n_0\, + PRE => \^wb_rst_o\, + Q => data0(7) + ); +\s_rdata[7]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^wb_ack_i\, + I1 => wb_we_o, + O => \s_rdata_reg[0]\(0) + ); +s_stb_r_i_1: unisim.vcomponents.LUT4 + generic map( + INIT => X"EFEE" + ) + port map ( + I0 => s00_axi_awvalid, + I1 => s00_axi_arvalid, + I2 => \^wb_ack_i\, + I3 => wb_cyc_o, + O => s_stb_r_reg + ); +\st_irq_block.al_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => al, + Q => \st_irq_block.al_reg_n_0\ + ); +\st_irq_block.irq_flag_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => irq_flag1_out, + Q => irq_flag + ); +\st_irq_block.rxack_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => rxack_0, + Q => rxack + ); +\st_irq_block.tip_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"A8" + ) + port map ( + I0 => s00_axi_aresetn, + I1 => write, + I2 => read, + O => tip_1 + ); +\st_irq_block.tip_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => tip_1, + Q => tip + ); +\st_irq_block.wb_inta_o_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"80" + ) + port map ( + I0 => irq_flag, + I1 => s00_axi_aresetn, + I2 => ien, + O => \st_irq_block.wb_inta_o_i_1_n_0\ + ); +\st_irq_block.wb_inta_o_reg\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => '1', + CLR => \^wb_rst_o\, + D => \st_irq_block.wb_inta_o_i_1_n_0\, + Q => axi_int_o + ); +\txr_reg[0]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg_0(0), + CLR => \^wb_rst_o\, + D => ctr(0), + Q => txr(0) + ); +\txr_reg[1]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg_0(0), + CLR => \^wb_rst_o\, + D => ctr(1), + Q => txr(1) + ); +\txr_reg[2]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg_0(0), + CLR => \^wb_rst_o\, + D => ctr(2), + Q => txr(2) + ); +\txr_reg[3]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg_0(0), + CLR => \^wb_rst_o\, + D => ctr(3), + Q => txr(3) + ); +\txr_reg[4]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg_0(0), + CLR => \^wb_rst_o\, + D => ctr(4), + Q => txr(4) + ); +\txr_reg[5]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg_0(0), + CLR => \^wb_rst_o\, + D => ctr(5), + Q => txr(5) + ); +\txr_reg[6]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg_0(0), + CLR => \^wb_rst_o\, + D => ctr(6), + Q => txr(6) + ); +\txr_reg[7]\: unisim.vcomponents.FDCE + port map ( + C => s00_axi_aclk, + CE => s_we_r_reg_0(0), + CLR => \^wb_rst_o\, + D => ctr(7), + Q => txr(7) + ); +\wb_dat_o[0]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"30BB3088" + ) + port map ( + I0 => \cr_reg_n_0_[0]\, + I1 => wb_adr_o(1), + I2 => txr(0), + I3 => wb_adr_o(0), + I4 => irq_flag, + O => \wb_dat_o[0]_i_3_n_0\ + ); +\wb_dat_o[1]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"30BB3088" + ) + port map ( + I0 => \cr_reg_n_0_[1]\, + I1 => wb_adr_o(1), + I2 => txr(1), + I3 => wb_adr_o(0), + I4 => tip, + O => \wb_dat_o[1]_i_3_n_0\ + ); +\wb_dat_o[5]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"30BB3088" + ) + port map ( + I0 => read, + I1 => wb_adr_o(1), + I2 => txr(5), + I3 => wb_adr_o(0), + I4 => \st_irq_block.al_reg_n_0\, + O => \wb_dat_o[5]_i_3_n_0\ + ); +\wb_dat_o[7]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"30BB3088" + ) + port map ( + I0 => start, + I1 => wb_adr_o(1), + I2 => txr(7), + I3 => wb_adr_o(0), + I4 => rxack, + O => \wb_dat_o[7]_i_3_n_0\ + ); +\wb_dat_o_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => wb_dat_o(0), + Q => \s_rdata_reg[7]\(0), + R => '0' + ); +\wb_dat_o_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => wb_dat_o(1), + Q => \s_rdata_reg[7]\(1), + R => '0' + ); +\wb_dat_o_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => wb_dat_o(2), + Q => \s_rdata_reg[7]\(2), + R => '0' + ); +\wb_dat_o_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => wb_dat_o(3), + Q => \s_rdata_reg[7]\(3), + R => '0' + ); +\wb_dat_o_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => wb_dat_o(4), + Q => \s_rdata_reg[7]\(4), + R => '0' + ); +\wb_dat_o_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => wb_dat_o(5), + Q => \s_rdata_reg[7]\(5), + R => '0' + ); +\wb_dat_o_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => wb_dat_o(6), + Q => \s_rdata_reg[7]\(6), + R => '0' + ); +\wb_dat_o_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => s00_axi_aclk, + CE => '1', + D => wb_dat_o(7), + Q => \s_rdata_reg[7]\(7), + R => '0' + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master is + port ( + i2c_scl_i : in STD_LOGIC; + i2c_scl_o : out STD_LOGIC; + i2c_scl_t : out STD_LOGIC; + i2c_sda_i : in STD_LOGIC; + i2c_sda_o : out STD_LOGIC; + i2c_sda_t : out STD_LOGIC; + axi_int_o : out STD_LOGIC; + s00_axi_aclk : in STD_LOGIC; + s00_axi_aresetn : in STD_LOGIC; + s00_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_awvalid : in STD_LOGIC; + s00_axi_awready : out STD_LOGIC; + s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s00_axi_wvalid : in STD_LOGIC; + s00_axi_wready : out STD_LOGIC; + s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s00_axi_bvalid : out STD_LOGIC; + s00_axi_bready : in STD_LOGIC; + s00_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_arvalid : in STD_LOGIC; + s00_axi_arready : out STD_LOGIC; + s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s00_axi_rvalid : out STD_LOGIC; + s00_axi_rready : in STD_LOGIC + ); + attribute C_S00_AXI_ADDR_WIDTH : integer; + attribute C_S00_AXI_ADDR_WIDTH of system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master : entity is 32; + attribute C_S00_AXI_DATA_WIDTH : integer; + attribute C_S00_AXI_DATA_WIDTH of system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master : entity is 32; + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master : entity is "axi_wb_i2c_master"; +end system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master; + +architecture STRUCTURE of system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master is + signal \<const0>\ : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_11 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_12 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_13 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_14 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_15 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_16 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_17 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_18 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_19 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_21 : STD_LOGIC; + signal cmp_axis_wbm_bridge_n_7 : STD_LOGIC; + signal cmp_i2c_master_top_n_6 : STD_LOGIC; + signal cmp_i2c_master_top_n_7 : STD_LOGIC; + signal ena : STD_LOGIC; + signal \^s00_axi_bresp\ : STD_LOGIC_VECTOR ( 1 to 1 ); + signal \^s00_axi_rdata\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal wb_ack_i : STD_LOGIC; + signal wb_adr_o : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal wb_cyc_o : STD_LOGIC; + signal wb_dat_o : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal wb_rst_o : STD_LOGIC; + signal wb_we_o : STD_LOGIC; +begin + i2c_scl_o <= \<const0>\; + i2c_sda_o <= \<const0>\; + s00_axi_bresp(1) <= \^s00_axi_bresp\(1); + s00_axi_bresp(0) <= \<const0>\; + s00_axi_rdata(31) <= \<const0>\; + s00_axi_rdata(30) <= \<const0>\; + s00_axi_rdata(29) <= \<const0>\; + s00_axi_rdata(28) <= \<const0>\; + s00_axi_rdata(27) <= \<const0>\; + s00_axi_rdata(26) <= \<const0>\; + s00_axi_rdata(25) <= \<const0>\; + s00_axi_rdata(24) <= \<const0>\; + s00_axi_rdata(23) <= \<const0>\; + s00_axi_rdata(22) <= \<const0>\; + s00_axi_rdata(21) <= \<const0>\; + s00_axi_rdata(20) <= \<const0>\; + s00_axi_rdata(19) <= \<const0>\; + s00_axi_rdata(18) <= \<const0>\; + s00_axi_rdata(17) <= \<const0>\; + s00_axi_rdata(16) <= \<const0>\; + s00_axi_rdata(15) <= \<const0>\; + s00_axi_rdata(14) <= \<const0>\; + s00_axi_rdata(13) <= \<const0>\; + s00_axi_rdata(12) <= \<const0>\; + s00_axi_rdata(11) <= \<const0>\; + s00_axi_rdata(10) <= \<const0>\; + s00_axi_rdata(9) <= \<const0>\; + s00_axi_rdata(8) <= \<const0>\; + s00_axi_rdata(7 downto 0) <= \^s00_axi_rdata\(7 downto 0); + s00_axi_rresp(1) <= \<const0>\; + s00_axi_rresp(0) <= \<const0>\; +GND: unisim.vcomponents.GND + port map ( + G => \<const0>\ + ); +cmp_axis_wbm_bridge: entity work.system_design_axi_wb_i2c_master_1_0_axis_wbm_bridge + port map ( + D(3) => cmp_axis_wbm_bridge_n_12, + D(2) => cmp_axis_wbm_bridge_n_13, + D(1) => cmp_axis_wbm_bridge_n_14, + D(0) => cmp_axis_wbm_bridge_n_15, + E(0) => cmp_axis_wbm_bridge_n_11, + Q(0) => ena, + \cr_reg[2]\ => cmp_axis_wbm_bridge_n_7, + \cr_reg[4]\ => cmp_axis_wbm_bridge_n_16, + \ctr_reg[0]\(0) => cmp_axis_wbm_bridge_n_19, + iack_o_reg => cmp_axis_wbm_bridge_n_21, + iack_o_reg_0 => cmp_i2c_master_top_n_6, + iack_o_reg_1(0) => cmp_i2c_master_top_n_7, + \prer_reg[8]\(1) => cmp_axis_wbm_bridge_n_17, + \prer_reg[8]\(0) => cmp_axis_wbm_bridge_n_18, + s00_axi_aclk => s00_axi_aclk, + s00_axi_araddr(2 downto 0) => s00_axi_araddr(4 downto 2), + s00_axi_aresetn => s00_axi_aresetn, + s00_axi_arready => s00_axi_arready, + s00_axi_arvalid => s00_axi_arvalid, + s00_axi_awaddr(2 downto 0) => s00_axi_awaddr(4 downto 2), + s00_axi_awready => s00_axi_awready, + s00_axi_awvalid => s00_axi_awvalid, + s00_axi_bready => s00_axi_bready, + s00_axi_bresp(0) => \^s00_axi_bresp\(1), + s00_axi_bvalid => s00_axi_bvalid, + s00_axi_rdata(7 downto 0) => \^s00_axi_rdata\(7 downto 0), + s00_axi_rready => s00_axi_rready, + s00_axi_rvalid => s00_axi_rvalid, + s00_axi_wdata(3 downto 0) => s00_axi_wdata(7 downto 4), + s00_axi_wready => s00_axi_wready, + s00_axi_wvalid => s00_axi_wvalid, + wb_ack_i => wb_ack_i, + wb_adr_o(2 downto 0) => wb_adr_o(2 downto 0), + wb_cyc_o => wb_cyc_o, + \wb_dat_o_reg[7]\(7 downto 0) => wb_dat_o(7 downto 0), + wb_rst_o => wb_rst_o, + wb_we_o => wb_we_o + ); +cmp_i2c_master_top: entity work.system_design_axi_wb_i2c_master_1_0_i2c_master_top + port map ( + D(3) => cmp_axis_wbm_bridge_n_12, + D(2) => cmp_axis_wbm_bridge_n_13, + D(1) => cmp_axis_wbm_bridge_n_14, + D(0) => cmp_axis_wbm_bridge_n_15, + E(1) => cmp_axis_wbm_bridge_n_17, + E(0) => cmp_axis_wbm_bridge_n_18, + Q(0) => ena, + axi_int_o => axi_int_o, + i2c_scl_i => i2c_scl_i, + i2c_scl_t => i2c_scl_t, + i2c_sda_i => i2c_sda_i, + i2c_sda_t => i2c_sda_t, + iack_o_reg_0 => cmp_axis_wbm_bridge_n_16, + s00_axi_aclk => s00_axi_aclk, + s00_axi_aresetn => s00_axi_aresetn, + s00_axi_arvalid => s00_axi_arvalid, + s00_axi_awvalid => s00_axi_awvalid, + s00_axi_wdata(7 downto 0) => s00_axi_wdata(7 downto 0), + \s_addr_reg[4]\ => cmp_axis_wbm_bridge_n_7, + \s_rdata_reg[0]\(0) => cmp_i2c_master_top_n_7, + \s_rdata_reg[7]\(7 downto 0) => wb_dat_o(7 downto 0), + s_stb_r_reg => cmp_i2c_master_top_n_6, + s_stb_r_reg_0 => cmp_axis_wbm_bridge_n_21, + s_we_r_reg(0) => cmp_axis_wbm_bridge_n_19, + s_we_r_reg_0(0) => cmp_axis_wbm_bridge_n_11, + wb_ack_i => wb_ack_i, + wb_adr_o(2 downto 0) => wb_adr_o(2 downto 0), + wb_cyc_o => wb_cyc_o, + wb_rst_o => wb_rst_o, + wb_we_o => wb_we_o + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_axi_wb_i2c_master_1_0 is + port ( + i2c_scl_i : in STD_LOGIC; + i2c_scl_o : out STD_LOGIC; + i2c_scl_t : out STD_LOGIC; + i2c_sda_i : in STD_LOGIC; + i2c_sda_o : out STD_LOGIC; + i2c_sda_t : out STD_LOGIC; + axi_int_o : out STD_LOGIC; + s00_axi_aclk : in STD_LOGIC; + s00_axi_aresetn : in STD_LOGIC; + s00_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_awvalid : in STD_LOGIC; + s00_axi_awready : out STD_LOGIC; + s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s00_axi_wvalid : in STD_LOGIC; + s00_axi_wready : out STD_LOGIC; + s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s00_axi_bvalid : out STD_LOGIC; + s00_axi_bready : in STD_LOGIC; + s00_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_arvalid : in STD_LOGIC; + s00_axi_arready : out STD_LOGIC; + s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s00_axi_rvalid : out STD_LOGIC; + s00_axi_rready : in STD_LOGIC + ); + attribute NotValidForBitStream : boolean; + attribute NotValidForBitStream of system_design_axi_wb_i2c_master_1_0 : entity is true; + attribute CHECK_LICENSE_TYPE : string; + attribute CHECK_LICENSE_TYPE of system_design_axi_wb_i2c_master_1_0 : entity is "system_design_axi_wb_i2c_master_1_0,axi_wb_i2c_master,{}"; + attribute downgradeipidentifiedwarnings : string; + attribute downgradeipidentifiedwarnings of system_design_axi_wb_i2c_master_1_0 : entity is "yes"; + attribute x_core_info : string; + attribute x_core_info of system_design_axi_wb_i2c_master_1_0 : entity is "axi_wb_i2c_master,Vivado 2016.2"; +end system_design_axi_wb_i2c_master_1_0; + +architecture STRUCTURE of system_design_axi_wb_i2c_master_1_0 is + attribute C_S00_AXI_ADDR_WIDTH : integer; + attribute C_S00_AXI_ADDR_WIDTH of U0 : label is 32; + attribute C_S00_AXI_DATA_WIDTH : integer; + attribute C_S00_AXI_DATA_WIDTH of U0 : label is 32; +begin +U0: entity work.system_design_axi_wb_i2c_master_1_0_axi_wb_i2c_master + port map ( + axi_int_o => axi_int_o, + i2c_scl_i => i2c_scl_i, + i2c_scl_o => i2c_scl_o, + i2c_scl_t => i2c_scl_t, + i2c_sda_i => i2c_sda_i, + i2c_sda_o => i2c_sda_o, + i2c_sda_t => i2c_sda_t, + s00_axi_aclk => s00_axi_aclk, + s00_axi_araddr(31 downto 0) => s00_axi_araddr(31 downto 0), + s00_axi_aresetn => s00_axi_aresetn, + s00_axi_arprot(2 downto 0) => s00_axi_arprot(2 downto 0), + s00_axi_arready => s00_axi_arready, + s00_axi_arvalid => s00_axi_arvalid, + s00_axi_awaddr(31 downto 0) => s00_axi_awaddr(31 downto 0), + s00_axi_awprot(2 downto 0) => s00_axi_awprot(2 downto 0), + s00_axi_awready => s00_axi_awready, + s00_axi_awvalid => s00_axi_awvalid, + s00_axi_bready => s00_axi_bready, + s00_axi_bresp(1 downto 0) => s00_axi_bresp(1 downto 0), + s00_axi_bvalid => s00_axi_bvalid, + s00_axi_rdata(31 downto 0) => s00_axi_rdata(31 downto 0), + s00_axi_rready => s00_axi_rready, + s00_axi_rresp(1 downto 0) => s00_axi_rresp(1 downto 0), + s00_axi_rvalid => s00_axi_rvalid, + s00_axi_wdata(31 downto 0) => s00_axi_wdata(31 downto 0), + s00_axi_wready => s00_axi_wready, + s00_axi_wstrb(3 downto 0) => s00_axi_wstrb(3 downto 0), + s00_axi_wvalid => s00_axi_wvalid + ); +end STRUCTURE; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.v new file mode 100644 index 0000000000000000000000000000000000000000..75f68445c7647e311cea077b03cf5077163eacb4 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.v @@ -0,0 +1,47 @@ +// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +// Date : Mon Dec 18 11:24:48 2017 +// Host : lapte24154 running 64-bit openSUSE Leap 42.2 +// Command : write_verilog -force -mode synth_stub +// /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.v +// Design : system_design_axi_wb_i2c_master_1_0 +// Purpose : Stub declaration of top-level module interface +// Device : xc7z030ffg676-2 +// -------------------------------------------------------------------------------- + +// This empty module with port declaration file causes synthesis tools to infer a black box for IP. +// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. +// Please paste the declaration into a Verilog source file or add the file as an additional source. +(* x_core_info = "axi_wb_i2c_master,Vivado 2016.2" *) +module system_design_axi_wb_i2c_master_1_0(i2c_scl_i, i2c_scl_o, i2c_scl_t, i2c_sda_i, i2c_sda_o, i2c_sda_t, axi_int_o, s00_axi_aclk, s00_axi_aresetn, s00_axi_awaddr, s00_axi_awprot, s00_axi_awvalid, s00_axi_awready, s00_axi_wdata, s00_axi_wstrb, s00_axi_wvalid, s00_axi_wready, s00_axi_bresp, s00_axi_bvalid, s00_axi_bready, s00_axi_araddr, s00_axi_arprot, s00_axi_arvalid, s00_axi_arready, s00_axi_rdata, s00_axi_rresp, s00_axi_rvalid, s00_axi_rready) +/* synthesis syn_black_box black_box_pad_pin="i2c_scl_i,i2c_scl_o,i2c_scl_t,i2c_sda_i,i2c_sda_o,i2c_sda_t,axi_int_o,s00_axi_aclk,s00_axi_aresetn,s00_axi_awaddr[31:0],s00_axi_awprot[2:0],s00_axi_awvalid,s00_axi_awready,s00_axi_wdata[31:0],s00_axi_wstrb[3:0],s00_axi_wvalid,s00_axi_wready,s00_axi_bresp[1:0],s00_axi_bvalid,s00_axi_bready,s00_axi_araddr[31:0],s00_axi_arprot[2:0],s00_axi_arvalid,s00_axi_arready,s00_axi_rdata[31:0],s00_axi_rresp[1:0],s00_axi_rvalid,s00_axi_rready" */; + input i2c_scl_i; + output i2c_scl_o; + output i2c_scl_t; + input i2c_sda_i; + output i2c_sda_o; + output i2c_sda_t; + output axi_int_o; + input s00_axi_aclk; + input s00_axi_aresetn; + input [31:0]s00_axi_awaddr; + input [2:0]s00_axi_awprot; + input s00_axi_awvalid; + output s00_axi_awready; + input [31:0]s00_axi_wdata; + input [3:0]s00_axi_wstrb; + input s00_axi_wvalid; + output s00_axi_wready; + output [1:0]s00_axi_bresp; + output s00_axi_bvalid; + input s00_axi_bready; + input [31:0]s00_axi_araddr; + input [2:0]s00_axi_arprot; + input s00_axi_arvalid; + output s00_axi_arready; + output [31:0]s00_axi_rdata; + output [1:0]s00_axi_rresp; + output s00_axi_rvalid; + input s00_axi_rready; +endmodule diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.vhdl new file mode 100644 index 0000000000000000000000000000000000000000..cc72df2be69c1ed6cb6647964a2a9e337b3c0bb1 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.vhdl @@ -0,0 +1,57 @@ +-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +-- Date : Mon Dec 18 11:24:48 2017 +-- Host : lapte24154 running 64-bit openSUSE Leap 42.2 +-- Command : write_vhdl -force -mode synth_stub +-- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0_stub.vhdl +-- Design : system_design_axi_wb_i2c_master_1_0 +-- Purpose : Stub declaration of top-level module interface +-- Device : xc7z030ffg676-2 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity system_design_axi_wb_i2c_master_1_0 is + Port ( + i2c_scl_i : in STD_LOGIC; + i2c_scl_o : out STD_LOGIC; + i2c_scl_t : out STD_LOGIC; + i2c_sda_i : in STD_LOGIC; + i2c_sda_o : out STD_LOGIC; + i2c_sda_t : out STD_LOGIC; + axi_int_o : out STD_LOGIC; + s00_axi_aclk : in STD_LOGIC; + s00_axi_aresetn : in STD_LOGIC; + s00_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_awvalid : in STD_LOGIC; + s00_axi_awready : out STD_LOGIC; + s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s00_axi_wvalid : in STD_LOGIC; + s00_axi_wready : out STD_LOGIC; + s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s00_axi_bvalid : out STD_LOGIC; + s00_axi_bready : in STD_LOGIC; + s00_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s00_axi_arvalid : in STD_LOGIC; + s00_axi_arready : out STD_LOGIC; + s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s00_axi_rvalid : out STD_LOGIC; + s00_axi_rready : in STD_LOGIC + ); + +end system_design_axi_wb_i2c_master_1_0; + +architecture stub of system_design_axi_wb_i2c_master_1_0 is +attribute syn_black_box : boolean; +attribute black_box_pad_pin : string; +attribute syn_black_box of stub : architecture is true; +attribute black_box_pad_pin of stub : architecture is "i2c_scl_i,i2c_scl_o,i2c_scl_t,i2c_sda_i,i2c_sda_o,i2c_sda_t,axi_int_o,s00_axi_aclk,s00_axi_aresetn,s00_axi_awaddr[31:0],s00_axi_awprot[2:0],s00_axi_awvalid,s00_axi_awready,s00_axi_wdata[31:0],s00_axi_wstrb[3:0],s00_axi_wvalid,s00_axi_wready,s00_axi_bresp[1:0],s00_axi_bvalid,s00_axi_bready,s00_axi_araddr[31:0],s00_axi_arprot[2:0],s00_axi_arvalid,s00_axi_arready,s00_axi_rdata[31:0],s00_axi_rresp[1:0],s00_axi_rvalid,s00_axi_rready"; +attribute x_core_info : string; +attribute x_core_info of stub : architecture is "axi_wb_i2c_master,Vivado 2016.2"; +begin +end; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.dcp index 2681b19b3f971928db2578f6c14022087a8ff081..989b17f95472d246580d9b885d5771bc7c526677 100644 Binary files a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.dcp and b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.dcp differ diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xml index 2ed755950ef98a71c9a1cad29c77248daf176dd4..24c55d23f040fabcb62c3cf2c576db119a1f50e4 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xml @@ -480,7 +480,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Oct 12 08:10:23 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:37:47 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.v index bc77078361c92ad4d766588c5bc57107795a211d..01a95ab622125f37d1e3f26a2f03b53556f93999 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Thu Oct 12 10:10:22 2017 +// Date : Mon Dec 18 11:37:46 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode funcsim // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.v @@ -389,6 +389,8 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave s00_axi_rready, \fmc_03287_channels[3].gen_chs.data_o_reg[51][0] , \fmc_03287_channels[7].gen_chs.data_o_reg[55][0] , + gem_status_vector_i, + \s_ins_reg[3] , \data_o_reg[6][0] , \data_o_reg[1][3] , \s_datao_fmc1[0] , @@ -400,8 +402,6 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \data_o_reg[6][0]_0 , \fmc_03287_channels[3].gen_chs.data_o_reg[51][1] , \fmc_03287_channels[7].gen_chs.data_o_reg[55][1] , - gem_status_vector_i, - \s_ins_reg[3] , \data_o_reg[6][1] , \axi_araddr_reg[4]_rep__0_0 , \fmc_03287_channels[3].gen_chs.data_o_reg[51][1]_0 , @@ -695,6 +695,8 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave input s00_axi_rready; input \fmc_03287_channels[3].gen_chs.data_o_reg[51][0] ; input \fmc_03287_channels[7].gen_chs.data_o_reg[55][0] ; + input [15:0]gem_status_vector_i; + input [3:0]\s_ins_reg[3] ; input \data_o_reg[6][0] ; input [3:0]\data_o_reg[1][3] ; input [19:0]\s_datao_fmc1[0] ; @@ -706,8 +708,6 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave input \data_o_reg[6][0]_0 ; input \fmc_03287_channels[3].gen_chs.data_o_reg[51][1] ; input \fmc_03287_channels[7].gen_chs.data_o_reg[55][1] ; - input [15:0]gem_status_vector_i; - input [3:0]\s_ins_reg[3] ; input \data_o_reg[6][1] ; input \axi_araddr_reg[4]_rep__0_0 ; input \fmc_03287_channels[3].gen_chs.data_o_reg[51][1]_0 ; @@ -4179,7 +4179,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave (.C(s00_axi_aclk), .CE(axi_arready_i_1_n_0), .D(s00_axi_araddr[0]), - .Q(\axi_rdata_reg[17]_1 ), + .Q(\axi_rdata_reg[17]_0 ), .R(rst_i)); (* ORIG_CELL_NAME = "axi_araddr_reg[2]" *) FDRE #( @@ -4188,7 +4188,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave (.C(s00_axi_aclk), .CE(axi_arready_i_1_n_0), .D(s00_axi_araddr[0]), - .Q(\axi_rdata_reg[12]_1 ), + .Q(\axi_rdata_reg[12]_0 ), .R(rst_i)); (* ORIG_CELL_NAME = "axi_araddr_reg[2]" *) FDRE #( @@ -4233,7 +4233,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave (.C(s00_axi_aclk), .CE(axi_arready_i_1_n_0), .D(s00_axi_araddr[1]), - .Q(\axi_rdata_reg[17]_0 ), + .Q(\axi_rdata_reg[17]_1 ), .R(rst_i)); (* ORIG_CELL_NAME = "axi_araddr_reg[3]" *) FDRE #( @@ -4242,7 +4242,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave (.C(s00_axi_aclk), .CE(axi_arready_i_1_n_0), .D(s00_axi_araddr[1]), - .Q(\axi_rdata_reg[12]_0 ), + .Q(\axi_rdata_reg[12]_1 ), .R(rst_i)); (* ORIG_CELL_NAME = "axi_araddr_reg[3]" *) FDRE #( @@ -4457,7 +4457,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I3(s00_axi_wvalid), .I4(s00_axi_awvalid), .O(axi_bvalid04_out)); - (* SOFT_HLUTNM = "soft_lutpair14" *) + (* SOFT_HLUTNM = "soft_lutpair15" *) LUT4 #( .INIT(16'h01FF)) \axi_bresp[1]_i_3 @@ -4499,14 +4499,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_araddr_reg[5]_rep_10 ), .O(\axi_rdata[0]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000000F8C83808)) + .INIT(64'hEE55FA00EE00FA00)) \axi_rdata[0]_i_14 - (.I0(\s_ins_reg[3] [0]), - .I1(\axi_rdata_reg[2]_1 ), - .I2(\axi_rdata_reg[2]_0 ), - .I3(gem_status_vector_i[0]), - .I4(\data_rw_o[3] [0]), - .I5(\axi_rdata_reg[0]_0 ), + (.I0(\axi_rdata_reg[0]_0 ), + .I1(\data_rw_o[3] [0]), + .I2(gem_status_vector_i[0]), + .I3(\axi_rdata_reg[2]_0 ), + .I4(\axi_rdata_reg[2]_1 ), + .I5(\s_ins_reg[3] [0]), .O(\axi_rdata[0]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) @@ -4699,9 +4699,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[10]_i_13 - (.I0(\axi_rdata_reg[12]_1 ), + (.I0(\axi_rdata_reg[12]_0 ), .I1(\s_datao_fmc1[4] [2]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[10]_i_33_n_0 ), .O(\axi_rdata[10]_i_13_n_0 )); @@ -4709,9 +4709,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .INIT(32'hCC408840)) \axi_rdata[10]_i_14 (.I0(\axi_rdata_reg[18]_0 ), - .I1(\axi_rdata_reg[12]_0 ), + .I1(\axi_rdata_reg[12]_1 ), .I2(gem_status_vector_i[10]), - .I3(\axi_rdata_reg[12]_1 ), + .I3(\axi_rdata_reg[12]_0 ), .I4(\data_rw_o_reg_n_0_[3][10] ), .O(\axi_rdata[10]_i_14_n_0 )); LUT6 #( @@ -4719,9 +4719,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_17 (.I0(\axi_rdata[10]_i_34_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\axi_rdata_reg[10]_0 ), .O(\axi_rdata[10]_i_17_n_0 )); LUT5 #( @@ -4729,17 +4729,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_19 (.I0(\axi_rdata[10]_i_37_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\s_datao_fmc2[4] [2]), - .I4(\axi_rdata_reg[12]_0 ), + .I4(\axi_rdata_reg[12]_1 ), .O(\axi_rdata[10]_i_19_n_0 )); (* SOFT_HLUTNM = "soft_lutpair2" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[10]_i_23 - (.I0(\axi_rdata_reg[12]_0 ), + (.I0(\axi_rdata_reg[12]_1 ), .I1(\dac_ch_o_reg[0][31] [10]), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[10]_i_23_n_0 )); LUT6 #( @@ -4747,9 +4747,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_29 (.I0(\[2].[3].s_reqs_reg[11][value][11] [10]), .I1(\[2].[2].s_reqs_reg[10][value][11] [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[2].[1].s_reqs_reg[9][value][11] [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[2].[0].s_reqs_reg[8][value][11] [10]), .O(\axi_rdata[10]_i_29_n_0 )); LUT6 #( @@ -4757,9 +4757,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_30 (.I0(\[3].[3].s_reqs_reg[15][value][11] [10]), .I1(\[3].[2].s_reqs_reg[14][value][11] [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[3].[1].s_reqs_reg[13][value][11] [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[3].[0].s_reqs_reg[12][value][11] [10]), .O(\axi_rdata[10]_i_30_n_0 )); LUT6 #( @@ -4767,9 +4767,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_31 (.I0(Q[10]), .I1(\[0].[2].s_reqs_reg[2][value][11] [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[0].[1].s_reqs_reg[1][value][11] [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[0].[0].s_reqs_reg[0][value][11] [10]), .O(\axi_rdata[10]_i_31_n_0 )); LUT6 #( @@ -4777,9 +4777,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_32 (.I0(\[1].[3].s_reqs_reg[7][value][11] [10]), .I1(\[1].[2].s_reqs_reg[6][value][11] [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[1].[1].s_reqs_reg[5][value][11] [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[1].[0].s_reqs_reg[4][value][11] [10]), .O(\axi_rdata[10]_i_32_n_0 )); LUT5 #( @@ -4787,18 +4787,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_33 (.I0(\data_rw_o_reg_n_0_[11][10] ), .I1(\data_rw_o_reg_n_0_[10][10] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc1[0] [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[10]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[10]_i_34 (.I0(\[4].[3].s_reqs_reg[19][value][11] [10]), .I1(\[4].[2].s_reqs_reg[18][value][11] [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[4].[1].s_reqs_reg[17][value][11] [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[4].[0].s_reqs_reg[16][value][11] [10]), .O(\axi_rdata[10]_i_34_n_0 )); LUT6 #( @@ -4806,9 +4806,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_35 (.I0(\[1].[3].s_reqs_reg[7][value][11]_0 [10]), .I1(\[1].[2].s_reqs_reg[6][value][11]_0 [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[1].[1].s_reqs_reg[5][value][11]_0 [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[1].[0].s_reqs_reg[4][value][11]_0 [10]), .O(\axi_rdata[10]_i_35_n_0 )); LUT6 #( @@ -4816,9 +4816,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_36 (.I0(\[2].[3].s_reqs_reg[11][value][11]_0 [10]), .I1(\[2].[2].s_reqs_reg[10][value][11]_0 [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[2].[1].s_reqs_reg[9][value][11]_0 [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[2].[0].s_reqs_reg[8][value][11]_0 [10]), .O(\axi_rdata[10]_i_36_n_0 )); LUT6 #( @@ -4826,9 +4826,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_37 (.I0(\[0].[3].s_reqs_reg[3][value][11] [10]), .I1(\[0].[2].s_reqs_reg[2][value][11]_0 [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[0].[1].s_reqs_reg[1][value][11]_0 [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[0].[0].s_reqs_reg[0][value][11]_0 [10]), .O(\axi_rdata[10]_i_37_n_0 )); LUT5 #( @@ -4836,18 +4836,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_39 (.I0(\data_rw_o_reg_n_0_[79][10] ), .I1(\data_rw_o_reg_n_0_[78][10] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc2[0] [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[10]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[10]_i_42 (.I0(\[3].[3].s_reqs_reg[15][value][11]_0 [10]), .I1(\[3].[2].s_reqs_reg[14][value][11]_0 [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[3].[1].s_reqs_reg[13][value][11]_0 [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[3].[0].s_reqs_reg[12][value][11]_0 [10]), .O(\axi_rdata[10]_i_42_n_0 )); LUT6 #( @@ -4855,9 +4855,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[10]_i_43 (.I0(\[4].[3].s_reqs_reg[19][value][11]_0 [10]), .I1(\[4].[2].s_reqs_reg[18][value][11]_0 [10]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[4].[1].s_reqs_reg[17][value][11]_0 [10]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[4].[0].s_reqs_reg[16][value][11]_0 [10]), .O(\axi_rdata[10]_i_43_n_0 )); LUT6 #( @@ -4913,19 +4913,19 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[11]_i_13 - (.I0(\axi_rdata_reg[12]_1 ), + (.I0(\axi_rdata_reg[12]_0 ), .I1(\s_datao_fmc1[4] [3]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[11]_i_33_n_0 ), .O(\axi_rdata[11]_i_13_n_0 )); LUT5 #( - .INIT(32'hCC408840)) + .INIT(32'hCCC888C8)) \axi_rdata[11]_i_14 (.I0(\axi_rdata_reg[18]_0 ), - .I1(\axi_rdata_reg[12]_0 ), + .I1(\axi_rdata_reg[12]_1 ), .I2(gem_status_vector_i[11]), - .I3(\axi_rdata_reg[12]_1 ), + .I3(\axi_rdata_reg[12]_0 ), .I4(\data_rw_o_reg_n_0_[3][11] ), .O(\axi_rdata[11]_i_14_n_0 )); LUT6 #( @@ -4933,9 +4933,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_17 (.I0(\axi_rdata[11]_i_34_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[11]_i_17_n_0 )); LUT5 #( @@ -4943,17 +4943,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_19 (.I0(\axi_rdata[11]_i_37_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\s_datao_fmc2[4] [3]), - .I4(\axi_rdata_reg[12]_0 ), + .I4(\axi_rdata_reg[12]_1 ), .O(\axi_rdata[11]_i_19_n_0 )); (* SOFT_HLUTNM = "soft_lutpair2" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[11]_i_23 - (.I0(\axi_rdata_reg[12]_0 ), + (.I0(\axi_rdata_reg[12]_1 ), .I1(\dac_ch_o_reg[0][31] [11]), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[11]_i_23_n_0 )); LUT6 #( @@ -4961,9 +4961,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_29 (.I0(\[2].[3].s_reqs_reg[11][value][11] [11]), .I1(\[2].[2].s_reqs_reg[10][value][11] [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[2].[1].s_reqs_reg[9][value][11] [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[2].[0].s_reqs_reg[8][value][11] [11]), .O(\axi_rdata[11]_i_29_n_0 )); LUT6 #( @@ -4971,9 +4971,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_30 (.I0(\[3].[3].s_reqs_reg[15][value][11] [11]), .I1(\[3].[2].s_reqs_reg[14][value][11] [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[3].[1].s_reqs_reg[13][value][11] [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[3].[0].s_reqs_reg[12][value][11] [11]), .O(\axi_rdata[11]_i_30_n_0 )); LUT6 #( @@ -4981,9 +4981,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_31 (.I0(Q[11]), .I1(\[0].[2].s_reqs_reg[2][value][11] [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[0].[1].s_reqs_reg[1][value][11] [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[0].[0].s_reqs_reg[0][value][11] [11]), .O(\axi_rdata[11]_i_31_n_0 )); LUT6 #( @@ -4991,9 +4991,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_32 (.I0(\[1].[3].s_reqs_reg[7][value][11] [11]), .I1(\[1].[2].s_reqs_reg[6][value][11] [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[1].[1].s_reqs_reg[5][value][11] [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[1].[0].s_reqs_reg[4][value][11] [11]), .O(\axi_rdata[11]_i_32_n_0 )); LUT5 #( @@ -5001,18 +5001,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_33 (.I0(\data_rw_o_reg_n_0_[11][11] ), .I1(\data_rw_o_reg_n_0_[10][11] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc1[0] [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[11]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[11]_i_34 (.I0(\[4].[3].s_reqs_reg[19][value][11] [11]), .I1(\[4].[2].s_reqs_reg[18][value][11] [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[4].[1].s_reqs_reg[17][value][11] [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[4].[0].s_reqs_reg[16][value][11] [11]), .O(\axi_rdata[11]_i_34_n_0 )); LUT6 #( @@ -5020,9 +5020,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_35 (.I0(\[1].[3].s_reqs_reg[7][value][11]_0 [11]), .I1(\[1].[2].s_reqs_reg[6][value][11]_0 [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[1].[1].s_reqs_reg[5][value][11]_0 [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[1].[0].s_reqs_reg[4][value][11]_0 [11]), .O(\axi_rdata[11]_i_35_n_0 )); LUT6 #( @@ -5030,9 +5030,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_36 (.I0(\[2].[3].s_reqs_reg[11][value][11]_0 [11]), .I1(\[2].[2].s_reqs_reg[10][value][11]_0 [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[2].[1].s_reqs_reg[9][value][11]_0 [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[2].[0].s_reqs_reg[8][value][11]_0 [11]), .O(\axi_rdata[11]_i_36_n_0 )); LUT6 #( @@ -5040,9 +5040,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_37 (.I0(\[0].[3].s_reqs_reg[3][value][11] [11]), .I1(\[0].[2].s_reqs_reg[2][value][11]_0 [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[0].[1].s_reqs_reg[1][value][11]_0 [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[0].[0].s_reqs_reg[0][value][11]_0 [11]), .O(\axi_rdata[11]_i_37_n_0 )); LUT5 #( @@ -5050,18 +5050,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_39 (.I0(\data_rw_o_reg_n_0_[79][11] ), .I1(\data_rw_o_reg_n_0_[78][11] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc2[0] [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[11]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[11]_i_42 (.I0(\[3].[3].s_reqs_reg[15][value][11]_0 [11]), .I1(\[3].[2].s_reqs_reg[14][value][11]_0 [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[3].[1].s_reqs_reg[13][value][11]_0 [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[3].[0].s_reqs_reg[12][value][11]_0 [11]), .O(\axi_rdata[11]_i_42_n_0 )); LUT6 #( @@ -5069,9 +5069,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[11]_i_43 (.I0(\[4].[3].s_reqs_reg[19][value][11]_0 [11]), .I1(\[4].[2].s_reqs_reg[18][value][11]_0 [11]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[4].[1].s_reqs_reg[17][value][11]_0 [11]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[4].[0].s_reqs_reg[16][value][11]_0 [11]), .O(\axi_rdata[11]_i_43_n_0 )); LUT6 #( @@ -5127,29 +5127,29 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[12]_i_13 - (.I0(\axi_rdata_reg[12]_1 ), + (.I0(\axi_rdata_reg[12]_0 ), .I1(\s_datao_fmc1[4] [4]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[12]_i_33_n_0 ), .O(\axi_rdata[12]_i_13_n_0 )); LUT5 #( - .INIT(32'h0000B800)) + .INIT(32'h44C800C8)) \axi_rdata[12]_i_14 - (.I0(\data_rw_o_reg_n_0_[3][12] ), + (.I0(\axi_rdata_reg[18]_0 ), .I1(\axi_rdata_reg[12]_1 ), .I2(gem_status_vector_i[12]), .I3(\axi_rdata_reg[12]_0 ), - .I4(\axi_rdata_reg[18]_0 ), + .I4(\data_rw_o_reg_n_0_[3][12] ), .O(\axi_rdata[12]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) \axi_rdata[12]_i_17 (.I0(\axi_rdata[12]_i_34_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [12]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[12]_i_17_n_0 )); LUT5 #( @@ -5157,16 +5157,16 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_19 (.I0(\axi_rdata[12]_i_37_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\s_datao_fmc2[4] [4]), - .I4(\axi_rdata_reg[12]_0 ), + .I4(\axi_rdata_reg[12]_1 ), .O(\axi_rdata[12]_i_19_n_0 )); LUT4 #( .INIT(16'h0004)) \axi_rdata[12]_i_23 - (.I0(\axi_rdata_reg[12]_0 ), + (.I0(\axi_rdata_reg[12]_1 ), .I1(\dac_ch_o_reg[0][31] [12]), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[12]_i_23_n_0 )); LUT6 #( @@ -5174,9 +5174,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_29 (.I0(\data_rw_o_reg_n_0_[27][12] ), .I1(\data_rw_o_reg_n_0_[26][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[25][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[24][12] ), .O(\axi_rdata[12]_i_29_n_0 )); LUT6 #( @@ -5184,9 +5184,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_30 (.I0(\data_rw_o_reg_n_0_[31][12] ), .I1(\data_rw_o_reg_n_0_[30][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[29][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[28][12] ), .O(\axi_rdata[12]_i_30_n_0 )); LUT6 #( @@ -5194,9 +5194,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_31 (.I0(\data_rw_o_reg_n_0_[19][12] ), .I1(\data_rw_o_reg_n_0_[18][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[17][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[16][12] ), .O(\axi_rdata[12]_i_31_n_0 )); LUT6 #( @@ -5204,9 +5204,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_32 (.I0(\data_rw_o_reg_n_0_[23][12] ), .I1(\data_rw_o_reg_n_0_[22][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[21][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[20][12] ), .O(\axi_rdata[12]_i_32_n_0 )); LUT5 #( @@ -5214,18 +5214,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_33 (.I0(\data_rw_o_reg_n_0_[11][12] ), .I1(\data_rw_o_reg_n_0_[10][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc1[0] [12]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[12]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[12]_i_34 (.I0(\data_rw_o_reg_n_0_[35][12] ), .I1(\data_rw_o_reg_n_0_[34][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[33][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[32][12] ), .O(\axi_rdata[12]_i_34_n_0 )); LUT6 #( @@ -5233,9 +5233,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_35 (.I0(\data_rw_o_reg_n_0_[91][12] ), .I1(\data_rw_o_reg_n_0_[90][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[89][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[88][12] ), .O(\axi_rdata[12]_i_35_n_0 )); LUT6 #( @@ -5243,9 +5243,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_36 (.I0(\data_rw_o_reg_n_0_[95][12] ), .I1(\data_rw_o_reg_n_0_[94][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[93][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[92][12] ), .O(\axi_rdata[12]_i_36_n_0 )); LUT6 #( @@ -5253,9 +5253,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_37 (.I0(\data_rw_o_reg_n_0_[87][12] ), .I1(\data_rw_o_reg_n_0_[86][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[85][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[84][12] ), .O(\axi_rdata[12]_i_37_n_0 )); LUT5 #( @@ -5263,18 +5263,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_39 (.I0(\data_rw_o_reg_n_0_[79][12] ), .I1(\data_rw_o_reg_n_0_[78][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc2[0] [12]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[12]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[12]_i_42 (.I0(\data_rw_o_reg_n_0_[99][12] ), .I1(\data_rw_o_reg_n_0_[98][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[97][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[96][12] ), .O(\axi_rdata[12]_i_42_n_0 )); LUT6 #( @@ -5282,9 +5282,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[12]_i_43 (.I0(\data_rw_o_reg_n_0_[103][12] ), .I1(\data_rw_o_reg_n_0_[102][12] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\data_rw_o_reg_n_0_[101][12] ), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\data_rw_o_reg_n_0_[100][12] ), .O(\axi_rdata[12]_i_43_n_0 )); LUT6 #( @@ -5340,29 +5340,29 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[13]_i_13 - (.I0(\axi_rdata_reg[17]_1 ), + (.I0(\axi_rdata_reg[17]_0 ), .I1(\s_datao_fmc1[4] [5]), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[13]_i_33_n_0 ), .O(\axi_rdata[13]_i_13_n_0 )); LUT5 #( - .INIT(32'hCCC888C8)) + .INIT(32'h0000B800)) \axi_rdata[13]_i_14 - (.I0(\axi_rdata_reg[18]_0 ), + (.I0(\data_rw_o_reg_n_0_[3][13] ), .I1(\axi_rdata_reg[17]_0 ), .I2(gem_status_vector_i[13]), .I3(\axi_rdata_reg[17]_1 ), - .I4(\data_rw_o_reg_n_0_[3][13] ), + .I4(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[13]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) \axi_rdata[13]_i_17 (.I0(\axi_rdata[13]_i_34_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [13]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[13]_i_17_n_0 )); LUT5 #( @@ -5370,17 +5370,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_19 (.I0(\axi_rdata[13]_i_37_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\s_datao_fmc2[4] [5]), - .I4(\axi_rdata_reg[17]_0 ), + .I4(\axi_rdata_reg[17]_1 ), .O(\axi_rdata[13]_i_19_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair7" *) + (* SOFT_HLUTNM = "soft_lutpair6" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[13]_i_23 - (.I0(\axi_rdata_reg[17]_0 ), + (.I0(\axi_rdata_reg[17]_1 ), .I1(\dac_ch_o_reg[0][31] [13]), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[13]_i_23_n_0 )); LUT6 #( @@ -5388,9 +5388,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_29 (.I0(\data_rw_o_reg_n_0_[27][13] ), .I1(\data_rw_o_reg_n_0_[26][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[25][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[24][13] ), .O(\axi_rdata[13]_i_29_n_0 )); LUT6 #( @@ -5398,9 +5398,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_30 (.I0(\data_rw_o_reg_n_0_[31][13] ), .I1(\data_rw_o_reg_n_0_[30][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[29][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[28][13] ), .O(\axi_rdata[13]_i_30_n_0 )); LUT6 #( @@ -5408,9 +5408,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_31 (.I0(\data_rw_o_reg_n_0_[19][13] ), .I1(\data_rw_o_reg_n_0_[18][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[17][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[16][13] ), .O(\axi_rdata[13]_i_31_n_0 )); LUT6 #( @@ -5418,9 +5418,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_32 (.I0(\data_rw_o_reg_n_0_[23][13] ), .I1(\data_rw_o_reg_n_0_[22][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[21][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[20][13] ), .O(\axi_rdata[13]_i_32_n_0 )); LUT5 #( @@ -5428,18 +5428,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_33 (.I0(\data_rw_o_reg_n_0_[11][13] ), .I1(\data_rw_o_reg_n_0_[10][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc1[0] [13]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[13]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[13]_i_34 (.I0(\data_rw_o_reg_n_0_[35][13] ), .I1(\data_rw_o_reg_n_0_[34][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[33][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[32][13] ), .O(\axi_rdata[13]_i_34_n_0 )); LUT6 #( @@ -5447,9 +5447,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_35 (.I0(\data_rw_o_reg_n_0_[91][13] ), .I1(\data_rw_o_reg_n_0_[90][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[89][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[88][13] ), .O(\axi_rdata[13]_i_35_n_0 )); LUT6 #( @@ -5457,9 +5457,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_36 (.I0(\data_rw_o_reg_n_0_[95][13] ), .I1(\data_rw_o_reg_n_0_[94][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[93][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[92][13] ), .O(\axi_rdata[13]_i_36_n_0 )); LUT6 #( @@ -5467,9 +5467,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_37 (.I0(\data_rw_o_reg_n_0_[87][13] ), .I1(\data_rw_o_reg_n_0_[86][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[85][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[84][13] ), .O(\axi_rdata[13]_i_37_n_0 )); LUT5 #( @@ -5477,18 +5477,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_39 (.I0(\data_rw_o_reg_n_0_[79][13] ), .I1(\data_rw_o_reg_n_0_[78][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc2[0] [13]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[13]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[13]_i_42 (.I0(\data_rw_o_reg_n_0_[99][13] ), .I1(\data_rw_o_reg_n_0_[98][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[97][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[96][13] ), .O(\axi_rdata[13]_i_42_n_0 )); LUT6 #( @@ -5496,9 +5496,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[13]_i_43 (.I0(\data_rw_o_reg_n_0_[103][13] ), .I1(\data_rw_o_reg_n_0_[102][13] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[101][13] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[100][13] ), .O(\axi_rdata[13]_i_43_n_0 )); LUT6 #( @@ -5554,9 +5554,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[14]_i_13 - (.I0(\axi_rdata_reg[17]_1 ), + (.I0(\axi_rdata_reg[17]_0 ), .I1(\s_datao_fmc1[4] [6]), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[14]_i_33_n_0 ), .O(\axi_rdata[14]_i_13_n_0 )); @@ -5564,9 +5564,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .INIT(32'h0000B800)) \axi_rdata[14]_i_14 (.I0(\data_rw_o_reg_n_0_[3][14] ), - .I1(\axi_rdata_reg[17]_1 ), + .I1(\axi_rdata_reg[17]_0 ), .I2(gem_status_vector_i[14]), - .I3(\axi_rdata_reg[17]_0 ), + .I3(\axi_rdata_reg[17]_1 ), .I4(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[14]_i_14_n_0 )); LUT6 #( @@ -5574,9 +5574,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_17 (.I0(\axi_rdata[14]_i_34_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [14]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[14]_i_17_n_0 )); LUT5 #( @@ -5584,17 +5584,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_19 (.I0(\axi_rdata[14]_i_37_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\s_datao_fmc2[4] [6]), - .I4(\axi_rdata_reg[17]_0 ), + .I4(\axi_rdata_reg[17]_1 ), .O(\axi_rdata[14]_i_19_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair7" *) + (* SOFT_HLUTNM = "soft_lutpair6" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[14]_i_23 - (.I0(\axi_rdata_reg[17]_0 ), + (.I0(\axi_rdata_reg[17]_1 ), .I1(\dac_ch_o_reg[0][31] [14]), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[14]_i_23_n_0 )); LUT6 #( @@ -5602,9 +5602,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_29 (.I0(\data_rw_o_reg_n_0_[27][14] ), .I1(\data_rw_o_reg_n_0_[26][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[25][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[24][14] ), .O(\axi_rdata[14]_i_29_n_0 )); LUT6 #( @@ -5612,9 +5612,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_30 (.I0(\data_rw_o_reg_n_0_[31][14] ), .I1(\data_rw_o_reg_n_0_[30][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[29][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[28][14] ), .O(\axi_rdata[14]_i_30_n_0 )); LUT6 #( @@ -5622,9 +5622,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_31 (.I0(\data_rw_o_reg_n_0_[19][14] ), .I1(\data_rw_o_reg_n_0_[18][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[17][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[16][14] ), .O(\axi_rdata[14]_i_31_n_0 )); LUT6 #( @@ -5632,9 +5632,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_32 (.I0(\data_rw_o_reg_n_0_[23][14] ), .I1(\data_rw_o_reg_n_0_[22][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[21][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[20][14] ), .O(\axi_rdata[14]_i_32_n_0 )); LUT5 #( @@ -5642,18 +5642,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_33 (.I0(\data_rw_o_reg_n_0_[11][14] ), .I1(\data_rw_o_reg_n_0_[10][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc1[0] [14]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[14]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[14]_i_34 (.I0(\data_rw_o_reg_n_0_[35][14] ), .I1(\data_rw_o_reg_n_0_[34][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[33][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[32][14] ), .O(\axi_rdata[14]_i_34_n_0 )); LUT6 #( @@ -5661,9 +5661,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_35 (.I0(\data_rw_o_reg_n_0_[91][14] ), .I1(\data_rw_o_reg_n_0_[90][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[89][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[88][14] ), .O(\axi_rdata[14]_i_35_n_0 )); LUT6 #( @@ -5671,9 +5671,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_36 (.I0(\data_rw_o_reg_n_0_[95][14] ), .I1(\data_rw_o_reg_n_0_[94][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[93][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[92][14] ), .O(\axi_rdata[14]_i_36_n_0 )); LUT6 #( @@ -5681,9 +5681,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_37 (.I0(\data_rw_o_reg_n_0_[87][14] ), .I1(\data_rw_o_reg_n_0_[86][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[85][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[84][14] ), .O(\axi_rdata[14]_i_37_n_0 )); LUT5 #( @@ -5691,18 +5691,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_39 (.I0(\data_rw_o_reg_n_0_[79][14] ), .I1(\data_rw_o_reg_n_0_[78][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc2[0] [14]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[14]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[14]_i_42 (.I0(\data_rw_o_reg_n_0_[99][14] ), .I1(\data_rw_o_reg_n_0_[98][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[97][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[96][14] ), .O(\axi_rdata[14]_i_42_n_0 )); LUT6 #( @@ -5710,9 +5710,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[14]_i_43 (.I0(\data_rw_o_reg_n_0_[103][14] ), .I1(\data_rw_o_reg_n_0_[102][14] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[101][14] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[100][14] ), .O(\axi_rdata[14]_i_43_n_0 )); LUT6 #( @@ -5768,29 +5768,29 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[15]_i_13 - (.I0(\axi_rdata_reg[17]_1 ), + (.I0(\axi_rdata_reg[17]_0 ), .I1(\s_datao_fmc1[4] [7]), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[15]_i_33_n_0 ), .O(\axi_rdata[15]_i_13_n_0 )); LUT5 #( - .INIT(32'h0000B800)) + .INIT(32'h44C800C8)) \axi_rdata[15]_i_14 - (.I0(\data_rw_o_reg_n_0_[3][15] ), + (.I0(\axi_rdata_reg[18]_0 ), .I1(\axi_rdata_reg[17]_1 ), .I2(gem_status_vector_i[15]), .I3(\axi_rdata_reg[17]_0 ), - .I4(\axi_rdata_reg[18]_0 ), + .I4(\data_rw_o_reg_n_0_[3][15] ), .O(\axi_rdata[15]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) \axi_rdata[15]_i_17 (.I0(\axi_rdata[15]_i_34_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [15]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[15]_i_17_n_0 )); LUT5 #( @@ -5798,17 +5798,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_19 (.I0(\axi_rdata[15]_i_37_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\s_datao_fmc2[4] [7]), - .I4(\axi_rdata_reg[17]_0 ), + .I4(\axi_rdata_reg[17]_1 ), .O(\axi_rdata[15]_i_19_n_0 )); (* SOFT_HLUTNM = "soft_lutpair8" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[15]_i_23 - (.I0(\axi_rdata_reg[17]_0 ), + (.I0(\axi_rdata_reg[17]_1 ), .I1(\dac_ch_o_reg[0][31] [15]), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[15]_i_23_n_0 )); LUT6 #( @@ -5816,9 +5816,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_29 (.I0(\data_rw_o_reg_n_0_[27][15] ), .I1(\data_rw_o_reg_n_0_[26][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[25][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[24][15] ), .O(\axi_rdata[15]_i_29_n_0 )); LUT6 #( @@ -5826,9 +5826,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_30 (.I0(\data_rw_o_reg_n_0_[31][15] ), .I1(\data_rw_o_reg_n_0_[30][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[29][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[28][15] ), .O(\axi_rdata[15]_i_30_n_0 )); LUT6 #( @@ -5836,9 +5836,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_31 (.I0(\data_rw_o_reg_n_0_[19][15] ), .I1(\data_rw_o_reg_n_0_[18][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[17][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[16][15] ), .O(\axi_rdata[15]_i_31_n_0 )); LUT6 #( @@ -5846,9 +5846,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_32 (.I0(\data_rw_o_reg_n_0_[23][15] ), .I1(\data_rw_o_reg_n_0_[22][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[21][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[20][15] ), .O(\axi_rdata[15]_i_32_n_0 )); LUT5 #( @@ -5856,18 +5856,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_33 (.I0(\data_rw_o_reg_n_0_[11][15] ), .I1(\data_rw_o_reg_n_0_[10][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc1[0] [15]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[15]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[15]_i_34 (.I0(\data_rw_o_reg_n_0_[35][15] ), .I1(\data_rw_o_reg_n_0_[34][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[33][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[32][15] ), .O(\axi_rdata[15]_i_34_n_0 )); LUT6 #( @@ -5875,9 +5875,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_35 (.I0(\data_rw_o_reg_n_0_[91][15] ), .I1(\data_rw_o_reg_n_0_[90][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[89][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[88][15] ), .O(\axi_rdata[15]_i_35_n_0 )); LUT6 #( @@ -5885,9 +5885,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_36 (.I0(\data_rw_o_reg_n_0_[95][15] ), .I1(\data_rw_o_reg_n_0_[94][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[93][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[92][15] ), .O(\axi_rdata[15]_i_36_n_0 )); LUT6 #( @@ -5895,9 +5895,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_37 (.I0(\data_rw_o_reg_n_0_[87][15] ), .I1(\data_rw_o_reg_n_0_[86][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[85][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[84][15] ), .O(\axi_rdata[15]_i_37_n_0 )); LUT5 #( @@ -5905,18 +5905,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_39 (.I0(\data_rw_o_reg_n_0_[79][15] ), .I1(\data_rw_o_reg_n_0_[78][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc2[0] [15]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[15]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[15]_i_42 (.I0(\data_rw_o_reg_n_0_[99][15] ), .I1(\data_rw_o_reg_n_0_[98][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[97][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[96][15] ), .O(\axi_rdata[15]_i_42_n_0 )); LUT6 #( @@ -5924,9 +5924,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[15]_i_43 (.I0(\data_rw_o_reg_n_0_[103][15] ), .I1(\data_rw_o_reg_n_0_[102][15] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[101][15] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[100][15] ), .O(\axi_rdata[15]_i_43_n_0 )); LUT6 #( @@ -5982,29 +5982,29 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[16]_i_13 - (.I0(\axi_rdata_reg[17]_1 ), + (.I0(\axi_rdata_reg[17]_0 ), .I1(\s_datao_fmc1[4] [8]), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[16]_i_33_n_0 ), .O(\axi_rdata[16]_i_13_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair12" *) + (* SOFT_HLUTNM = "soft_lutpair13" *) LUT4 #( - .INIT(16'hC888)) + .INIT(16'h4088)) \axi_rdata[16]_i_14 (.I0(\axi_rdata_reg[18]_0 ), - .I1(\axi_rdata_reg[17]_0 ), + .I1(\axi_rdata_reg[17]_1 ), .I2(\data_rw_o_reg_n_0_[3][16] ), - .I3(\axi_rdata_reg[17]_1 ), + .I3(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[16]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) \axi_rdata[16]_i_17 (.I0(\axi_rdata[16]_i_34_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [16]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[16]_i_17_n_0 )); LUT5 #( @@ -6012,17 +6012,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_19 (.I0(\axi_rdata[16]_i_37_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\s_datao_fmc2[4] [8]), - .I4(\axi_rdata_reg[17]_0 ), + .I4(\axi_rdata_reg[17]_1 ), .O(\axi_rdata[16]_i_19_n_0 )); (* SOFT_HLUTNM = "soft_lutpair8" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[16]_i_23 - (.I0(\axi_rdata_reg[17]_0 ), + (.I0(\axi_rdata_reg[17]_1 ), .I1(\dac_ch_o_reg[0][31] [16]), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[16]_i_23_n_0 )); LUT6 #( @@ -6030,9 +6030,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_29 (.I0(\data_rw_o_reg_n_0_[27][16] ), .I1(\data_rw_o_reg_n_0_[26][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[25][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[24][16] ), .O(\axi_rdata[16]_i_29_n_0 )); LUT6 #( @@ -6040,9 +6040,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_30 (.I0(\data_rw_o_reg_n_0_[31][16] ), .I1(\data_rw_o_reg_n_0_[30][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[29][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[28][16] ), .O(\axi_rdata[16]_i_30_n_0 )); LUT6 #( @@ -6050,9 +6050,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_31 (.I0(\data_rw_o_reg_n_0_[19][16] ), .I1(\data_rw_o_reg_n_0_[18][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[17][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[16][16] ), .O(\axi_rdata[16]_i_31_n_0 )); LUT6 #( @@ -6060,9 +6060,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_32 (.I0(\data_rw_o_reg_n_0_[23][16] ), .I1(\data_rw_o_reg_n_0_[22][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[21][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[20][16] ), .O(\axi_rdata[16]_i_32_n_0 )); LUT5 #( @@ -6070,18 +6070,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_33 (.I0(\data_rw_o_reg_n_0_[11][16] ), .I1(\data_rw_o_reg_n_0_[10][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc1[0] [16]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[16]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[16]_i_34 (.I0(\data_rw_o_reg_n_0_[35][16] ), .I1(\data_rw_o_reg_n_0_[34][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[33][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[32][16] ), .O(\axi_rdata[16]_i_34_n_0 )); LUT6 #( @@ -6089,9 +6089,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_35 (.I0(\data_rw_o_reg_n_0_[91][16] ), .I1(\data_rw_o_reg_n_0_[90][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[89][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[88][16] ), .O(\axi_rdata[16]_i_35_n_0 )); LUT6 #( @@ -6099,9 +6099,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_36 (.I0(\data_rw_o_reg_n_0_[95][16] ), .I1(\data_rw_o_reg_n_0_[94][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[93][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[92][16] ), .O(\axi_rdata[16]_i_36_n_0 )); LUT6 #( @@ -6109,9 +6109,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_37 (.I0(\data_rw_o_reg_n_0_[87][16] ), .I1(\data_rw_o_reg_n_0_[86][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[85][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[84][16] ), .O(\axi_rdata[16]_i_37_n_0 )); LUT5 #( @@ -6119,18 +6119,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_39 (.I0(\data_rw_o_reg_n_0_[79][16] ), .I1(\data_rw_o_reg_n_0_[78][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc2[0] [16]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[16]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[16]_i_42 (.I0(\data_rw_o_reg_n_0_[99][16] ), .I1(\data_rw_o_reg_n_0_[98][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[97][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[96][16] ), .O(\axi_rdata[16]_i_42_n_0 )); LUT6 #( @@ -6138,9 +6138,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[16]_i_43 (.I0(\data_rw_o_reg_n_0_[103][16] ), .I1(\data_rw_o_reg_n_0_[102][16] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[101][16] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[100][16] ), .O(\axi_rdata[16]_i_43_n_0 )); LUT6 #( @@ -6196,9 +6196,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[17]_i_13 - (.I0(\axi_rdata_reg[17]_1 ), + (.I0(\axi_rdata_reg[17]_0 ), .I1(\s_datao_fmc1[4] [9]), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[17]_i_33_n_0 ), .O(\axi_rdata[17]_i_13_n_0 )); @@ -6206,18 +6206,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .INIT(16'h4088)) \axi_rdata[17]_i_14 (.I0(\axi_rdata_reg[18]_0 ), - .I1(\axi_rdata_reg[17]_0 ), + .I1(\axi_rdata_reg[17]_1 ), .I2(\data_rw_o_reg_n_0_[3][17] ), - .I3(\axi_rdata_reg[17]_1 ), + .I3(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[17]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) \axi_rdata[17]_i_17 (.I0(\axi_rdata[17]_i_34_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [17]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[17]_i_17_n_0 )); LUT5 #( @@ -6225,17 +6225,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_19 (.I0(\axi_rdata[17]_i_37_n_0 ), .I1(\axi_rdata_reg[18]_0 ), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\s_datao_fmc2[4] [9]), - .I4(\axi_rdata_reg[17]_0 ), + .I4(\axi_rdata_reg[17]_1 ), .O(\axi_rdata[17]_i_19_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair12" *) + (* SOFT_HLUTNM = "soft_lutpair13" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[17]_i_23 - (.I0(\axi_rdata_reg[17]_0 ), + (.I0(\axi_rdata_reg[17]_1 ), .I1(\dac_ch_o_reg[0][31] [17]), - .I2(\axi_rdata_reg[17]_1 ), + .I2(\axi_rdata_reg[17]_0 ), .I3(\axi_rdata_reg[18]_0 ), .O(\axi_rdata[17]_i_23_n_0 )); LUT6 #( @@ -6243,9 +6243,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_29 (.I0(\data_rw_o_reg_n_0_[27][17] ), .I1(\data_rw_o_reg_n_0_[26][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[25][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[24][17] ), .O(\axi_rdata[17]_i_29_n_0 )); LUT6 #( @@ -6253,9 +6253,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_30 (.I0(\data_rw_o_reg_n_0_[31][17] ), .I1(\data_rw_o_reg_n_0_[30][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[29][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[28][17] ), .O(\axi_rdata[17]_i_30_n_0 )); LUT6 #( @@ -6263,9 +6263,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_31 (.I0(\data_rw_o_reg_n_0_[19][17] ), .I1(\data_rw_o_reg_n_0_[18][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[17][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[16][17] ), .O(\axi_rdata[17]_i_31_n_0 )); LUT6 #( @@ -6273,9 +6273,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_32 (.I0(\data_rw_o_reg_n_0_[23][17] ), .I1(\data_rw_o_reg_n_0_[22][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[21][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[20][17] ), .O(\axi_rdata[17]_i_32_n_0 )); LUT5 #( @@ -6283,18 +6283,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_33 (.I0(\data_rw_o_reg_n_0_[11][17] ), .I1(\data_rw_o_reg_n_0_[10][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc1[0] [17]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[17]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[17]_i_34 (.I0(\data_rw_o_reg_n_0_[35][17] ), .I1(\data_rw_o_reg_n_0_[34][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[33][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[32][17] ), .O(\axi_rdata[17]_i_34_n_0 )); LUT6 #( @@ -6302,9 +6302,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_35 (.I0(\data_rw_o_reg_n_0_[91][17] ), .I1(\data_rw_o_reg_n_0_[90][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[89][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[88][17] ), .O(\axi_rdata[17]_i_35_n_0 )); LUT6 #( @@ -6312,9 +6312,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_36 (.I0(\data_rw_o_reg_n_0_[95][17] ), .I1(\data_rw_o_reg_n_0_[94][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[93][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[92][17] ), .O(\axi_rdata[17]_i_36_n_0 )); LUT6 #( @@ -6322,9 +6322,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_37 (.I0(\data_rw_o_reg_n_0_[87][17] ), .I1(\data_rw_o_reg_n_0_[86][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[85][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[84][17] ), .O(\axi_rdata[17]_i_37_n_0 )); LUT5 #( @@ -6332,18 +6332,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_39 (.I0(\data_rw_o_reg_n_0_[79][17] ), .I1(\data_rw_o_reg_n_0_[78][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\s_datao_fmc2[0] [17]), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .O(\axi_rdata[17]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[17]_i_42 (.I0(\data_rw_o_reg_n_0_[99][17] ), .I1(\data_rw_o_reg_n_0_[98][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[97][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[96][17] ), .O(\axi_rdata[17]_i_42_n_0 )); LUT6 #( @@ -6351,9 +6351,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[17]_i_43 (.I0(\data_rw_o_reg_n_0_[103][17] ), .I1(\data_rw_o_reg_n_0_[102][17] ), - .I2(\axi_rdata_reg[17]_0 ), + .I2(\axi_rdata_reg[17]_1 ), .I3(\data_rw_o_reg_n_0_[101][17] ), - .I4(\axi_rdata_reg[17]_1 ), + .I4(\axi_rdata_reg[17]_0 ), .I5(\data_rw_o_reg_n_0_[100][17] ), .O(\axi_rdata[17]_i_43_n_0 )); LUT6 #( @@ -6415,9 +6415,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I3(\axi_rdata_reg[18]_0 ), .I4(\axi_rdata[18]_i_33_n_0 ), .O(\axi_rdata[18]_i_13_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair13" *) + (* SOFT_HLUTNM = "soft_lutpair14" *) LUT4 #( - .INIT(16'hC888)) + .INIT(16'h4088)) \axi_rdata[18]_i_14 (.I0(\axi_rdata_reg[18]_0 ), .I1(\axi_rdata_reg[22]_0 ), @@ -6443,7 +6443,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I3(\s_datao_fmc2[4] [10]), .I4(\axi_rdata_reg[22]_0 ), .O(\axi_rdata[18]_i_19_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair13" *) + (* SOFT_HLUTNM = "soft_lutpair14" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[18]_i_23 @@ -6631,7 +6631,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .O(\axi_rdata[19]_i_13_n_0 )); (* SOFT_HLUTNM = "soft_lutpair11" *) LUT4 #( - .INIT(16'h4088)) + .INIT(16'hC800)) \axi_rdata[19]_i_14 (.I0(\axi_rdata_reg[23]_0 [2]), .I1(\axi_rdata_reg[22]_0 ), @@ -6835,7 +6835,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_araddr_reg[5]_rep_9 ), .O(\axi_rdata[1]_i_1_n_0 )); LUT6 #( - .INIT(64'hEE55FA00EE00FA00)) + .INIT(64'hEE555000EE005000)) \axi_rdata[1]_i_14 (.I0(\axi_rdata_reg[9]_0 ), .I1(\data_rw_o[3] [1]), @@ -6854,7 +6854,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\axi_rdata_reg[2]_1 ), .I5(\axi_rdata_reg[10]_0 ), .O(\axi_rdata[1]_i_17_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair6" *) + (* SOFT_HLUTNM = "soft_lutpair7" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[1]_i_23 @@ -7033,7 +7033,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\axi_rdata_reg[23]_0 [3]), .I5(\axi_araddr_reg[4]_8 ), .O(\axi_rdata[20]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair16" *) + (* SOFT_HLUTNM = "soft_lutpair12" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[20]_i_14 @@ -7090,7 +7090,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I3(\axi_rdata_reg[22]_0 ), .I4(\axi_rdata_reg[23]_0 [2]), .O(\axi_rdata[20]_i_23_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair11" *) + (* SOFT_HLUTNM = "soft_lutpair12" *) LUT4 #( .INIT(16'h4088)) \axi_rdata[20]_i_24 @@ -7247,7 +7247,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\axi_rdata_reg[23]_0 [3]), .I5(\axi_araddr_reg[4]_6 ), .O(\axi_rdata[21]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair17" *) + (* SOFT_HLUTNM = "soft_lutpair18" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[21]_i_14 @@ -7304,14 +7304,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I3(\axi_rdata_reg[22]_0 ), .I4(\axi_rdata_reg[23]_0 [2]), .O(\axi_rdata[21]_i_23_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair16" *) + (* SOFT_HLUTNM = "soft_lutpair11" *) LUT4 #( - .INIT(16'h0080)) + .INIT(16'hC888)) \axi_rdata[21]_i_24 - (.I0(\axi_rdata_reg[22]_1 ), - .I1(\data_rw_o_reg_n_0_[3][21] ), - .I2(\axi_rdata_reg[22]_0 ), - .I3(\axi_rdata_reg[23]_0 [2]), + (.I0(\axi_rdata_reg[23]_0 [2]), + .I1(\axi_rdata_reg[22]_0 ), + .I2(\data_rw_o_reg_n_0_[3][21] ), + .I3(\axi_rdata_reg[22]_1 ), .O(\axi_rdata[21]_i_24_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) @@ -7461,7 +7461,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\axi_rdata_reg[23]_0 [3]), .I5(\axi_araddr_reg[4]_4 ), .O(\axi_rdata[22]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair17" *) + (* SOFT_HLUTNM = "soft_lutpair18" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[22]_i_14 @@ -7520,7 +7520,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .O(\axi_rdata[22]_i_23_n_0 )); (* SOFT_HLUTNM = "soft_lutpair10" *) LUT4 #( - .INIT(16'hC888)) + .INIT(16'hC800)) \axi_rdata[22]_i_24 (.I0(\axi_rdata_reg[23]_0 [2]), .I1(\axi_rdata_reg[22]_0 ), @@ -7732,7 +7732,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .O(\axi_rdata[23]_i_24_n_0 )); (* SOFT_HLUTNM = "soft_lutpair9" *) LUT4 #( - .INIT(16'h4088)) + .INIT(16'hC800)) \axi_rdata[23]_i_25 (.I0(\axi_rdata_reg[23]_0 [2]), .I1(\axi_rdata_reg[23]_0 [1]), @@ -8062,14 +8062,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[24]_i_7_n_0 )); LUT6 #( - .INIT(64'h8B888888B888B888)) + .INIT(64'h88888888B8888888)) \axi_rdata[24]_i_9 (.I0(\axi_rdata[24]_i_17_n_0 ), .I1(\axi_rdata_reg[23]_0 [3]), - .I2(\axi_rdata_reg[23]_0 [2]), - .I3(\axi_rdata_reg[23]_0 [1]), - .I4(\data_rw_o_reg_n_0_[3][24] ), - .I5(\axi_rdata_reg[23]_0 [0]), + .I2(\axi_rdata_reg[23]_0 [0]), + .I3(\data_rw_o_reg_n_0_[3][24] ), + .I4(\axi_rdata_reg[23]_0 [1]), + .I5(\axi_rdata_reg[23]_0 [2]), .O(\axi_rdata[24]_i_9_n_0 )); LUT4 #( .INIT(16'h4540)) @@ -8246,7 +8246,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[25]_i_7_n_0 )); LUT6 #( - .INIT(64'hBB88B88888888888)) + .INIT(64'hBB88B888B888B888)) \axi_rdata[25]_i_9 (.I0(\axi_rdata[25]_i_17_n_0 ), .I1(\axi_rdata_reg[23]_0 [3]), @@ -8430,14 +8430,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[26]_i_7_n_0 )); LUT6 #( - .INIT(64'h88888888B8888888)) + .INIT(64'hBB88B88888888888)) \axi_rdata[26]_i_9 (.I0(\axi_rdata[26]_i_17_n_0 ), .I1(\axi_rdata_reg[23]_0 [3]), - .I2(\axi_rdata_reg[23]_0 [0]), - .I3(\data_rw_o_reg_n_0_[3][26] ), - .I4(\axi_rdata_reg[23]_0 [1]), - .I5(\axi_rdata_reg[23]_0 [2]), + .I2(\axi_rdata_reg[23]_0 [2]), + .I3(\axi_rdata_reg[23]_0 [1]), + .I4(\data_rw_o_reg_n_0_[3][26] ), + .I5(\axi_rdata_reg[23]_0 [0]), .O(\axi_rdata[26]_i_9_n_0 )); LUT4 #( .INIT(16'h4540)) @@ -8798,7 +8798,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[28]_i_7_n_0 )); LUT6 #( - .INIT(64'hBB88B888B888B888)) + .INIT(64'h8B888888B888B888)) \axi_rdata[28]_i_9 (.I0(\axi_rdata[28]_i_17_n_0 ), .I1(\axi_rdata_reg[23]_0 [3]), @@ -8982,14 +8982,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_rdata_reg[23]_0 [3]), .O(\axi_rdata[29]_i_7_n_0 )); LUT6 #( - .INIT(64'h88888888B8888888)) + .INIT(64'hBB88B88888888888)) \axi_rdata[29]_i_9 (.I0(\axi_rdata[29]_i_17_n_0 ), .I1(\axi_rdata_reg[23]_0 [3]), - .I2(\axi_rdata_reg[23]_0 [0]), - .I3(\data_rw_o_reg_n_0_[3][29] ), - .I4(\axi_rdata_reg[23]_0 [1]), - .I5(\axi_rdata_reg[23]_0 [2]), + .I2(\axi_rdata_reg[23]_0 [2]), + .I3(\axi_rdata_reg[23]_0 [1]), + .I4(\data_rw_o_reg_n_0_[3][29] ), + .I5(\axi_rdata_reg[23]_0 [0]), .O(\axi_rdata[29]_i_9_n_0 )); LUT6 #( .INIT(64'hAAAAA8080000A808)) @@ -9002,14 +9002,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_araddr_reg[5]_rep_8 ), .O(\axi_rdata[2]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000000F8C83808)) + .INIT(64'h4455FA004400FA00)) \axi_rdata[2]_i_14 - (.I0(\s_ins_reg[3] [2]), - .I1(\axi_rdata_reg[2]_1 ), - .I2(\axi_rdata_reg[2]_0 ), - .I3(gem_status_vector_i[2]), - .I4(\data_rw_o_reg_n_0_[3][2] ), - .I5(\axi_rdata_reg[9]_0 ), + (.I0(\axi_rdata_reg[9]_0 ), + .I1(\data_rw_o_reg_n_0_[3][2] ), + .I2(gem_status_vector_i[2]), + .I3(\axi_rdata_reg[2]_0 ), + .I4(\axi_rdata_reg[2]_1 ), + .I5(\s_ins_reg[3] [2]), .O(\axi_rdata[2]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) @@ -9021,7 +9021,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\axi_rdata_reg[2]_1 ), .I5(\axi_rdata_reg[10]_0 ), .O(\axi_rdata[2]_i_17_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair6" *) + (* SOFT_HLUTNM = "soft_lutpair7" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[2]_i_23 @@ -9576,14 +9576,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_araddr_reg[5]_rep_7 ), .O(\axi_rdata[3]_i_1_n_0 )); LUT6 #( - .INIT(64'hEE55FA00EE00FA00)) + .INIT(64'h00000000F8C83808)) \axi_rdata[3]_i_14 - (.I0(\axi_rdata_reg[9]_0 ), - .I1(\data_rw_o_reg_n_0_[3][3] ), - .I2(gem_status_vector_i[3]), - .I3(\axi_rdata_reg[7]_0 ), - .I4(\axi_rdata_reg[7]_1 ), - .I5(\s_ins_reg[3] [3]), + (.I0(\s_ins_reg[3] [3]), + .I1(\axi_rdata_reg[7]_1 ), + .I2(\axi_rdata_reg[7]_0 ), + .I3(gem_status_vector_i[3]), + .I4(\data_rw_o_reg_n_0_[3][3] ), + .I5(\axi_rdata_reg[9]_0 ), .O(\axi_rdata[3]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) @@ -9775,7 +9775,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_araddr_reg[5]_rep_6 ), .O(\axi_rdata[4]_i_1_n_0 )); LUT5 #( - .INIT(32'hCCC888C8)) + .INIT(32'hCC408840)) \axi_rdata[4]_i_14 (.I0(\axi_rdata_reg[9]_0 ), .I1(\axi_rdata_reg[7]_0 ), @@ -9971,13 +9971,13 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_araddr_reg[5]_rep_5 ), .O(\axi_rdata[5]_i_1_n_0 )); LUT5 #( - .INIT(32'h0000B800)) + .INIT(32'hCCC888C8)) \axi_rdata[5]_i_14 - (.I0(\data_rw_o_reg_n_0_[3][5] ), - .I1(\axi_rdata_reg[7]_1 ), + (.I0(\axi_rdata_reg[9]_0 ), + .I1(\axi_rdata_reg[7]_0 ), .I2(gem_status_vector_i[5]), - .I3(\axi_rdata_reg[7]_0 ), - .I4(\axi_rdata_reg[9]_0 ), + .I3(\axi_rdata_reg[7]_1 ), + .I4(\data_rw_o_reg_n_0_[3][5] ), .O(\axi_rdata[5]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) @@ -10185,6 +10185,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\axi_rdata_reg[7]_1 ), .I5(\axi_rdata_reg[10]_0 ), .O(\axi_rdata[6]_i_17_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair4" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[6]_i_23 @@ -10362,13 +10363,13 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I5(\axi_araddr_reg[5]_rep_3 ), .O(\axi_rdata[7]_i_1_n_0 )); LUT5 #( - .INIT(32'h0000B800)) + .INIT(32'hCC408840)) \axi_rdata[7]_i_14 - (.I0(\data_rw_o_reg_n_0_[3][7] ), - .I1(\axi_rdata_reg[7]_1 ), + (.I0(\axi_rdata_reg[9]_0 ), + .I1(\axi_rdata_reg[7]_0 ), .I2(gem_status_vector_i[7]), - .I3(\axi_rdata_reg[7]_0 ), - .I4(\axi_rdata_reg[9]_0 ), + .I3(\axi_rdata_reg[7]_1 ), + .I4(\data_rw_o_reg_n_0_[3][7] ), .O(\axi_rdata[7]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) @@ -10380,7 +10381,6 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\axi_rdata_reg[7]_1 ), .I5(\axi_rdata_reg[10]_0 ), .O(\axi_rdata[7]_i_17_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair4" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[7]_i_23 @@ -10560,29 +10560,29 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[8]_i_13 - (.I0(\axi_rdata_reg[12]_1 ), + (.I0(\axi_rdata_reg[12]_0 ), .I1(\s_datao_fmc1[4] [0]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\axi_rdata_reg[9]_0 ), .I4(\axi_rdata[8]_i_33_n_0 ), .O(\axi_rdata[8]_i_13_n_0 )); LUT5 #( - .INIT(32'hCCC888C8)) + .INIT(32'h0000B800)) \axi_rdata[8]_i_14 - (.I0(\axi_rdata_reg[9]_0 ), + (.I0(\data_rw_o_reg_n_0_[3][8] ), .I1(\axi_rdata_reg[12]_0 ), .I2(gem_status_vector_i[8]), .I3(\axi_rdata_reg[12]_1 ), - .I4(\data_rw_o_reg_n_0_[3][8] ), + .I4(\axi_rdata_reg[9]_0 ), .O(\axi_rdata[8]_i_14_n_0 )); LUT6 #( .INIT(64'h0000000022222E22)) \axi_rdata[8]_i_17 (.I0(\axi_rdata[8]_i_34_n_0 ), .I1(\axi_rdata_reg[9]_0 ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\axi_rdata_reg[10]_0 ), .O(\axi_rdata[8]_i_17_n_0 )); LUT5 #( @@ -10590,17 +10590,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_19 (.I0(\axi_rdata[8]_i_37_n_0 ), .I1(\axi_rdata_reg[9]_0 ), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\s_datao_fmc2[4] [0]), - .I4(\axi_rdata_reg[12]_0 ), + .I4(\axi_rdata_reg[12]_1 ), .O(\axi_rdata[8]_i_19_n_0 )); (* SOFT_HLUTNM = "soft_lutpair3" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[8]_i_23 - (.I0(\axi_rdata_reg[12]_0 ), + (.I0(\axi_rdata_reg[12]_1 ), .I1(\dac_ch_o_reg[0][31] [8]), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\axi_rdata_reg[9]_0 ), .O(\axi_rdata[8]_i_23_n_0 )); LUT6 #( @@ -10608,9 +10608,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_29 (.I0(\[2].[3].s_reqs_reg[11][value][11] [8]), .I1(\[2].[2].s_reqs_reg[10][value][11] [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[2].[1].s_reqs_reg[9][value][11] [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[2].[0].s_reqs_reg[8][value][11] [8]), .O(\axi_rdata[8]_i_29_n_0 )); LUT6 #( @@ -10618,9 +10618,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_30 (.I0(\[3].[3].s_reqs_reg[15][value][11] [8]), .I1(\[3].[2].s_reqs_reg[14][value][11] [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[3].[1].s_reqs_reg[13][value][11] [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[3].[0].s_reqs_reg[12][value][11] [8]), .O(\axi_rdata[8]_i_30_n_0 )); LUT6 #( @@ -10628,9 +10628,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_31 (.I0(Q[8]), .I1(\[0].[2].s_reqs_reg[2][value][11] [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[0].[1].s_reqs_reg[1][value][11] [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[0].[0].s_reqs_reg[0][value][11] [8]), .O(\axi_rdata[8]_i_31_n_0 )); LUT6 #( @@ -10638,9 +10638,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_32 (.I0(\[1].[3].s_reqs_reg[7][value][11] [8]), .I1(\[1].[2].s_reqs_reg[6][value][11] [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[1].[1].s_reqs_reg[5][value][11] [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[1].[0].s_reqs_reg[4][value][11] [8]), .O(\axi_rdata[8]_i_32_n_0 )); LUT5 #( @@ -10648,18 +10648,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_33 (.I0(\data_rw_o_reg_n_0_[11][8] ), .I1(\data_rw_o_reg_n_0_[10][8] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc1[0] [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[8]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[8]_i_34 (.I0(\[4].[3].s_reqs_reg[19][value][11] [8]), .I1(\[4].[2].s_reqs_reg[18][value][11] [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[4].[1].s_reqs_reg[17][value][11] [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[4].[0].s_reqs_reg[16][value][11] [8]), .O(\axi_rdata[8]_i_34_n_0 )); LUT6 #( @@ -10667,9 +10667,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_35 (.I0(\[1].[3].s_reqs_reg[7][value][11]_0 [8]), .I1(\[1].[2].s_reqs_reg[6][value][11]_0 [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[1].[1].s_reqs_reg[5][value][11]_0 [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[1].[0].s_reqs_reg[4][value][11]_0 [8]), .O(\axi_rdata[8]_i_35_n_0 )); LUT6 #( @@ -10677,9 +10677,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_36 (.I0(\[2].[3].s_reqs_reg[11][value][11]_0 [8]), .I1(\[2].[2].s_reqs_reg[10][value][11]_0 [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[2].[1].s_reqs_reg[9][value][11]_0 [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[2].[0].s_reqs_reg[8][value][11]_0 [8]), .O(\axi_rdata[8]_i_36_n_0 )); LUT6 #( @@ -10687,9 +10687,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_37 (.I0(\[0].[3].s_reqs_reg[3][value][11] [8]), .I1(\[0].[2].s_reqs_reg[2][value][11]_0 [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[0].[1].s_reqs_reg[1][value][11]_0 [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[0].[0].s_reqs_reg[0][value][11]_0 [8]), .O(\axi_rdata[8]_i_37_n_0 )); LUT5 #( @@ -10697,18 +10697,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_39 (.I0(\data_rw_o_reg_n_0_[79][8] ), .I1(\data_rw_o_reg_n_0_[78][8] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc2[0] [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[8]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[8]_i_42 (.I0(\[3].[3].s_reqs_reg[15][value][11]_0 [8]), .I1(\[3].[2].s_reqs_reg[14][value][11]_0 [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[3].[1].s_reqs_reg[13][value][11]_0 [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[3].[0].s_reqs_reg[12][value][11]_0 [8]), .O(\axi_rdata[8]_i_42_n_0 )); LUT6 #( @@ -10716,9 +10716,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[8]_i_43 (.I0(\[4].[3].s_reqs_reg[19][value][11]_0 [8]), .I1(\[4].[2].s_reqs_reg[18][value][11]_0 [8]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[4].[1].s_reqs_reg[17][value][11]_0 [8]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[4].[0].s_reqs_reg[16][value][11]_0 [8]), .O(\axi_rdata[8]_i_43_n_0 )); LUT6 #( @@ -10774,19 +10774,19 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave LUT5 #( .INIT(32'h04FF0400)) \axi_rdata[9]_i_13 - (.I0(\axi_rdata_reg[12]_1 ), + (.I0(\axi_rdata_reg[12]_0 ), .I1(\s_datao_fmc1[4] [1]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\axi_rdata_reg[9]_0 ), .I4(\axi_rdata[9]_i_33_n_0 ), .O(\axi_rdata[9]_i_13_n_0 )); LUT5 #( - .INIT(32'h44C800C8)) + .INIT(32'hCCC888C8)) \axi_rdata[9]_i_14 (.I0(\axi_rdata_reg[9]_0 ), - .I1(\axi_rdata_reg[12]_0 ), + .I1(\axi_rdata_reg[12]_1 ), .I2(gem_status_vector_i[9]), - .I3(\axi_rdata_reg[12]_1 ), + .I3(\axi_rdata_reg[12]_0 ), .I4(\data_rw_o_reg_n_0_[3][9] ), .O(\axi_rdata[9]_i_14_n_0 )); LUT6 #( @@ -10794,9 +10794,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_17 (.I0(\axi_rdata[9]_i_34_n_0 ), .I1(\axi_rdata_reg[9]_0 ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\dac_ch_o_reg[0][31]_0 [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\axi_rdata_reg[10]_0 ), .O(\axi_rdata[9]_i_17_n_0 )); LUT5 #( @@ -10804,17 +10804,17 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_19 (.I0(\axi_rdata[9]_i_37_n_0 ), .I1(\axi_rdata_reg[9]_0 ), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\s_datao_fmc2[4] [1]), - .I4(\axi_rdata_reg[12]_0 ), + .I4(\axi_rdata_reg[12]_1 ), .O(\axi_rdata[9]_i_19_n_0 )); (* SOFT_HLUTNM = "soft_lutpair3" *) LUT4 #( .INIT(16'h0004)) \axi_rdata[9]_i_23 - (.I0(\axi_rdata_reg[12]_0 ), + (.I0(\axi_rdata_reg[12]_1 ), .I1(\dac_ch_o_reg[0][31] [9]), - .I2(\axi_rdata_reg[12]_1 ), + .I2(\axi_rdata_reg[12]_0 ), .I3(\axi_rdata_reg[9]_0 ), .O(\axi_rdata[9]_i_23_n_0 )); LUT6 #( @@ -10822,9 +10822,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_29 (.I0(\[2].[3].s_reqs_reg[11][value][11] [9]), .I1(\[2].[2].s_reqs_reg[10][value][11] [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[2].[1].s_reqs_reg[9][value][11] [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[2].[0].s_reqs_reg[8][value][11] [9]), .O(\axi_rdata[9]_i_29_n_0 )); LUT6 #( @@ -10832,9 +10832,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_30 (.I0(\[3].[3].s_reqs_reg[15][value][11] [9]), .I1(\[3].[2].s_reqs_reg[14][value][11] [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[3].[1].s_reqs_reg[13][value][11] [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[3].[0].s_reqs_reg[12][value][11] [9]), .O(\axi_rdata[9]_i_30_n_0 )); LUT6 #( @@ -10842,9 +10842,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_31 (.I0(Q[9]), .I1(\[0].[2].s_reqs_reg[2][value][11] [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[0].[1].s_reqs_reg[1][value][11] [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[0].[0].s_reqs_reg[0][value][11] [9]), .O(\axi_rdata[9]_i_31_n_0 )); LUT6 #( @@ -10852,9 +10852,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_32 (.I0(\[1].[3].s_reqs_reg[7][value][11] [9]), .I1(\[1].[2].s_reqs_reg[6][value][11] [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[1].[1].s_reqs_reg[5][value][11] [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[1].[0].s_reqs_reg[4][value][11] [9]), .O(\axi_rdata[9]_i_32_n_0 )); LUT5 #( @@ -10862,18 +10862,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_33 (.I0(\data_rw_o_reg_n_0_[11][9] ), .I1(\data_rw_o_reg_n_0_[10][9] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc1[0] [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[9]_i_33_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[9]_i_34 (.I0(\[4].[3].s_reqs_reg[19][value][11] [9]), .I1(\[4].[2].s_reqs_reg[18][value][11] [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[4].[1].s_reqs_reg[17][value][11] [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[4].[0].s_reqs_reg[16][value][11] [9]), .O(\axi_rdata[9]_i_34_n_0 )); LUT6 #( @@ -10881,9 +10881,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_35 (.I0(\[1].[3].s_reqs_reg[7][value][11]_0 [9]), .I1(\[1].[2].s_reqs_reg[6][value][11]_0 [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[1].[1].s_reqs_reg[5][value][11]_0 [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[1].[0].s_reqs_reg[4][value][11]_0 [9]), .O(\axi_rdata[9]_i_35_n_0 )); LUT6 #( @@ -10891,9 +10891,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_36 (.I0(\[2].[3].s_reqs_reg[11][value][11]_0 [9]), .I1(\[2].[2].s_reqs_reg[10][value][11]_0 [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[2].[1].s_reqs_reg[9][value][11]_0 [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[2].[0].s_reqs_reg[8][value][11]_0 [9]), .O(\axi_rdata[9]_i_36_n_0 )); LUT6 #( @@ -10901,9 +10901,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_37 (.I0(\[0].[3].s_reqs_reg[3][value][11] [9]), .I1(\[0].[2].s_reqs_reg[2][value][11]_0 [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[0].[1].s_reqs_reg[1][value][11]_0 [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[0].[0].s_reqs_reg[0][value][11]_0 [9]), .O(\axi_rdata[9]_i_37_n_0 )); LUT5 #( @@ -10911,18 +10911,18 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_39 (.I0(\data_rw_o_reg_n_0_[79][9] ), .I1(\data_rw_o_reg_n_0_[78][9] ), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\s_datao_fmc2[0] [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .O(\axi_rdata[9]_i_39_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \axi_rdata[9]_i_42 (.I0(\[3].[3].s_reqs_reg[15][value][11]_0 [9]), .I1(\[3].[2].s_reqs_reg[14][value][11]_0 [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[3].[1].s_reqs_reg[13][value][11]_0 [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[3].[0].s_reqs_reg[12][value][11]_0 [9]), .O(\axi_rdata[9]_i_42_n_0 )); LUT6 #( @@ -10930,9 +10930,9 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave \axi_rdata[9]_i_43 (.I0(\[4].[3].s_reqs_reg[19][value][11]_0 [9]), .I1(\[4].[2].s_reqs_reg[18][value][11]_0 [9]), - .I2(\axi_rdata_reg[12]_0 ), + .I2(\axi_rdata_reg[12]_1 ), .I3(\[4].[1].s_reqs_reg[17][value][11]_0 [9]), - .I4(\axi_rdata_reg[12]_1 ), + .I4(\axi_rdata_reg[12]_0 ), .I5(\[4].[0].s_reqs_reg[16][value][11]_0 [9]), .O(\axi_rdata[9]_i_43_n_0 )); LUT6 #( @@ -12197,7 +12197,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\axi_rdata[23]_i_2_n_0 ), .I5(s00_axi_aresetn), .O(\axi_rresp[1]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair18" *) + (* SOFT_HLUTNM = "soft_lutpair19" *) LUT2 #( .INIT(4'h8)) \axi_rresp[1]_i_2 @@ -12210,7 +12210,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .D(\axi_rresp[1]_i_1_n_0 ), .Q(s00_axi_rresp), .R(1'b0)); - (* SOFT_HLUTNM = "soft_lutpair18" *) + (* SOFT_HLUTNM = "soft_lutpair19" *) LUT4 #( .INIT(16'h08F8)) axi_rvalid_i_1 @@ -12384,7 +12384,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\data_rw_o[103][31]_i_3_n_0 ), .I5(\data_rw_o[97][31]_i_3_n_0 ), .O(\data_rw_o[103][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair30" *) + (* SOFT_HLUTNM = "soft_lutpair31" *) LUT2 #( .INIT(4'hE)) \data_rw_o[103][31]_i_3 @@ -12505,7 +12505,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[1]), .I5(\data_rw_o[16][31]_i_3_n_0 ), .O(\data_rw_o[16][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair19" *) + (* SOFT_HLUTNM = "soft_lutpair16" *) LUT4 #( .INIT(16'hFFFE)) \data_rw_o[16][31]_i_3 @@ -12552,7 +12552,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[4]), .I5(\data_rw_o[17][31]_i_3_n_0 ), .O(\data_rw_o[17][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair14" *) + (* SOFT_HLUTNM = "soft_lutpair15" *) LUT4 #( .INIT(16'hFFFE)) \data_rw_o[17][31]_i_3 @@ -12798,7 +12798,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[3]), .I5(sel0[5]), .O(\data_rw_o[23][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair26" *) + (* SOFT_HLUTNM = "soft_lutpair25" *) LUT2 #( .INIT(4'h7)) \data_rw_o[23][31]_i_3 @@ -13176,7 +13176,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave (.I0(sel0[3]), .I1(sel0[2]), .O(\data_rw_o[32][31]_i_3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair27" *) + (* SOFT_HLUTNM = "soft_lutpair26" *) LUT2 #( .INIT(4'hE)) \data_rw_o[32][31]_i_4 @@ -13221,7 +13221,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[4]), .I5(sel0[1]), .O(\data_rw_o[33][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair24" *) + (* SOFT_HLUTNM = "soft_lutpair30" *) LUT2 #( .INIT(4'h7)) \data_rw_o[33][31]_i_3 @@ -13304,7 +13304,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\data_rw_o[23][31]_i_5_n_0 ), .I5(\data_rw_o[35][31]_i_3_n_0 ), .O(\data_rw_o[35][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair26" *) + (* SOFT_HLUTNM = "soft_lutpair25" *) LUT2 #( .INIT(4'hE)) \data_rw_o[35][31]_i_3 @@ -13388,7 +13388,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I3(s00_axi_wvalid), .I4(s00_axi_awvalid), .O(\data_rw_o[0]1 )); - (* SOFT_HLUTNM = "soft_lutpair19" *) + (* SOFT_HLUTNM = "soft_lutpair16" *) LUT4 #( .INIT(16'hFFFE)) \data_rw_o[3][31]_i_7 @@ -13435,21 +13435,21 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[0]), .I5(\data_rw_o[78][31]_i_5_n_0 ), .O(\data_rw_o[78][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair23" *) + (* SOFT_HLUTNM = "soft_lutpair24" *) LUT2 #( .INIT(4'h7)) \data_rw_o[78][31]_i_3 (.I0(sel0[6]), .I1(sel0[2]), .O(\data_rw_o[78][31]_i_3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair29" *) + (* SOFT_HLUTNM = "soft_lutpair28" *) LUT2 #( .INIT(4'h7)) \data_rw_o[78][31]_i_4 (.I0(sel0[1]), .I1(sel0[3]), .O(\data_rw_o[78][31]_i_4_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair28" *) + (* SOFT_HLUTNM = "soft_lutpair27" *) LUT2 #( .INIT(4'hE)) \data_rw_o[78][31]_i_5 @@ -13579,14 +13579,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[1]), .I5(sel0[5]), .O(\data_rw_o[85][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair27" *) + (* SOFT_HLUTNM = "soft_lutpair26" *) LUT2 #( .INIT(4'h7)) \data_rw_o[85][31]_i_3 (.I0(sel0[4]), .I1(sel0[0]), .O(\data_rw_o[85][31]_i_3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair30" *) + (* SOFT_HLUTNM = "soft_lutpair29" *) LUT2 #( .INIT(4'hE)) \data_rw_o[85][31]_i_4 @@ -13631,7 +13631,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\data_rw_o[85][31]_i_4_n_0 ), .I5(\data_rw_o[86][31]_i_3_n_0 ), .O(\data_rw_o[86][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair24" *) + (* SOFT_HLUTNM = "soft_lutpair30" *) LUT2 #( .INIT(4'hE)) \data_rw_o[86][31]_i_3 @@ -13676,7 +13676,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\data_rw_o[85][31]_i_4_n_0 ), .I5(\data_rw_o[87][31]_i_3_n_0 ), .O(\data_rw_o[87][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair25" *) + (* SOFT_HLUTNM = "soft_lutpair23" *) LUT2 #( .INIT(4'hB)) \data_rw_o[87][31]_i_3 @@ -13768,14 +13768,14 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(\data_rw_o[89][31]_i_3_n_0 ), .I5(\data_rw_o[89][31]_i_4_n_0 ), .O(\data_rw_o[89][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair23" *) + (* SOFT_HLUTNM = "soft_lutpair24" *) LUT2 #( .INIT(4'hE)) \data_rw_o[89][31]_i_3 (.I0(sel0[2]), .I1(sel0[7]), .O(\data_rw_o[89][31]_i_3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair28" *) + (* SOFT_HLUTNM = "soft_lutpair27" *) LUT2 #( .INIT(4'hE)) \data_rw_o[89][31]_i_4 @@ -13979,7 +13979,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[0]), .I5(\data_rw_o[87][31]_i_3_n_0 ), .O(\data_rw_o[94][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair29" *) + (* SOFT_HLUTNM = "soft_lutpair28" *) LUT2 #( .INIT(4'h7)) \data_rw_o[94][31]_i_3 @@ -14109,7 +14109,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[4]), .I5(sel0[1]), .O(\data_rw_o[97][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair31" *) + (* SOFT_HLUTNM = "soft_lutpair29" *) LUT2 #( .INIT(4'hB)) \data_rw_o[97][31]_i_3 @@ -14192,7 +14192,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I4(sel0[4]), .I5(sel0[2]), .O(\data_rw_o[99][31]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair25" *) + (* SOFT_HLUTNM = "soft_lutpair23" *) LUT2 #( .INIT(4'h7)) \data_rw_o[99][31]_i_3 @@ -22846,7 +22846,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .D(s00_axi_wdata[9]), .Q(\[3].[3].s_reqs_reg[15][value][11]_0 [9]), .S(rst_i)); - (* SOFT_HLUTNM = "soft_lutpair15" *) + (* SOFT_HLUTNM = "soft_lutpair17" *) LUT4 #( .INIT(16'h4777)) dig_out6_n_INST_0 @@ -22855,7 +22855,7 @@ module system_design_fasec_hwtest_0_0_axi4lite_slave .I2(\data_rw_o[3] [1]), .I3(s_tick), .O(dig_out6_n)); - (* SOFT_HLUTNM = "soft_lutpair15" *) + (* SOFT_HLUTNM = "soft_lutpair17" *) LUT4 #( .INIT(16'hF808)) \dig_outs_i[0]_INST_0 @@ -57062,13 +57062,13 @@ module system_design_fasec_hwtest_0_0_fasec_hwtest .\FMC1_LA_P_b[32] ({FMC1_LA_P_b[32:31],FMC1_LA_P_b[19:0]}), .Q(\gen_spi.cmp_dac7716_spi/[0].[3].s_reqs_reg[3][value]__0 ), .\axi_araddr_reg[2]_rep (cmp_axi4lite_slave_n_524), - .\axi_araddr_reg[2]_rep__0 (cmp_axi4lite_slave_n_522), - .\axi_araddr_reg[2]_rep__1 (cmp_axi4lite_slave_n_519), + .\axi_araddr_reg[2]_rep__0 (cmp_axi4lite_slave_n_521), + .\axi_araddr_reg[2]_rep__1 (cmp_axi4lite_slave_n_518), .\axi_araddr_reg[2]_rep__2 (cmp_axi4lite_slave_n_517), .\axi_araddr_reg[2]_rep__3 (cmp_axi4lite_slave_n_220), .\axi_araddr_reg[3]_rep (cmp_axi4lite_slave_n_523), - .\axi_araddr_reg[3]_rep__0 (cmp_axi4lite_slave_n_521), - .\axi_araddr_reg[3]_rep__1 (cmp_axi4lite_slave_n_518), + .\axi_araddr_reg[3]_rep__0 (cmp_axi4lite_slave_n_522), + .\axi_araddr_reg[3]_rep__1 (cmp_axi4lite_slave_n_519), .\axi_araddr_reg[3]_rep__2 (cmp_axi4lite_slave_n_516), .\axi_araddr_reg[3]_rep__3 (cmp_axi4lite_slave_n_219), .\axi_araddr_reg[4] (axi_araddr[4:2]), @@ -57246,13 +57246,13 @@ module system_design_fasec_hwtest_0_0_fasec_hwtest .\FMC2_LA_P_b[32] ({FMC2_LA_P_b[32:31],FMC2_LA_P_b[19:0]}), .Q(\gen_spi.cmp_dac7716_spi/[0].[3].s_reqs_reg[3][value]__0_15 ), .\axi_araddr_reg[2]_rep (cmp_axi4lite_slave_n_524), - .\axi_araddr_reg[2]_rep__0 (cmp_axi4lite_slave_n_522), - .\axi_araddr_reg[2]_rep__1 (cmp_axi4lite_slave_n_519), + .\axi_araddr_reg[2]_rep__0 (cmp_axi4lite_slave_n_521), + .\axi_araddr_reg[2]_rep__1 (cmp_axi4lite_slave_n_518), .\axi_araddr_reg[2]_rep__2 (cmp_axi4lite_slave_n_517), .\axi_araddr_reg[2]_rep__3 (cmp_axi4lite_slave_n_220), .\axi_araddr_reg[3]_rep (cmp_axi4lite_slave_n_523), - .\axi_araddr_reg[3]_rep__0 (cmp_axi4lite_slave_n_521), - .\axi_araddr_reg[3]_rep__1 (cmp_axi4lite_slave_n_518), + .\axi_araddr_reg[3]_rep__0 (cmp_axi4lite_slave_n_522), + .\axi_araddr_reg[3]_rep__1 (cmp_axi4lite_slave_n_519), .\axi_araddr_reg[3]_rep__2 (cmp_axi4lite_slave_n_516), .\axi_araddr_reg[3]_rep__3 (cmp_axi4lite_slave_n_219), .\axi_araddr_reg[4]_rep (cmp_axi4lite_slave_n_520), diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.vhdl index ffeb4c73295d4d5d4f19a95c09dc343bc59bd39d..a5d6897dcfc2a65a3895524baadfd4f3c3538ed3 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Thu Oct 12 10:10:22 2017 +-- Date : Mon Dec 18 11:37:47 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode funcsim -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_sim_netlist.vhdl @@ -138,6 +138,8 @@ entity system_design_fasec_hwtest_0_0_axi4lite_slave is s00_axi_rready : in STD_LOGIC; \fmc_03287_channels[3].gen_chs.data_o_reg[51][0]\ : in STD_LOGIC; \fmc_03287_channels[7].gen_chs.data_o_reg[55][0]\ : in STD_LOGIC; + gem_status_vector_i : in STD_LOGIC_VECTOR ( 15 downto 0 ); + \s_ins_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \data_o_reg[6][0]\ : in STD_LOGIC; \data_o_reg[1][3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \s_datao_fmc1[0]\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); @@ -149,8 +151,6 @@ entity system_design_fasec_hwtest_0_0_axi4lite_slave is \data_o_reg[6][0]_0\ : in STD_LOGIC; \fmc_03287_channels[3].gen_chs.data_o_reg[51][1]\ : in STD_LOGIC; \fmc_03287_channels[7].gen_chs.data_o_reg[55][1]\ : in STD_LOGIC; - gem_status_vector_i : in STD_LOGIC_VECTOR ( 15 downto 0 ); - \s_ins_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \data_o_reg[6][1]\ : in STD_LOGIC; \axi_araddr_reg[4]_rep__0_0\ : in STD_LOGIC; \fmc_03287_channels[3].gen_chs.data_o_reg[51][1]_0\ : in STD_LOGIC; @@ -2620,69 +2620,69 @@ architecture STRUCTURE of system_design_fasec_hwtest_0_0_axi4lite_slave is attribute ORIG_CELL_NAME of \axi_araddr_reg[5]_rep\ : label is "axi_araddr_reg[5]"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axi_bresp[1]_i_2\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \axi_bresp[1]_i_3\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \axi_bresp[1]_i_3\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \axi_rdata[10]_i_23\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \axi_rdata[11]_i_23\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \axi_rdata[13]_i_23\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \axi_rdata[14]_i_23\ : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \axi_rdata[13]_i_23\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \axi_rdata[14]_i_23\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \axi_rdata[15]_i_23\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \axi_rdata[16]_i_14\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \axi_rdata[16]_i_14\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \axi_rdata[16]_i_23\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \axi_rdata[17]_i_23\ : label is "soft_lutpair12"; - attribute SOFT_HLUTNM of \axi_rdata[18]_i_14\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \axi_rdata[18]_i_23\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \axi_rdata[17]_i_23\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \axi_rdata[18]_i_14\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \axi_rdata[18]_i_23\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \axi_rdata[19]_i_14\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \axi_rdata[19]_i_23\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \axi_rdata[1]_i_23\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \axi_rdata[20]_i_14\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \axi_rdata[20]_i_24\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \axi_rdata[21]_i_14\ : label is "soft_lutpair17"; - attribute SOFT_HLUTNM of \axi_rdata[21]_i_24\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \axi_rdata[22]_i_14\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \axi_rdata[1]_i_23\ : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \axi_rdata[20]_i_14\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \axi_rdata[20]_i_24\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \axi_rdata[21]_i_14\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \axi_rdata[21]_i_24\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \axi_rdata[22]_i_14\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \axi_rdata[22]_i_24\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \axi_rdata[23]_i_15\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \axi_rdata[23]_i_25\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \axi_rdata[2]_i_23\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \axi_rdata[2]_i_23\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \axi_rdata[3]_i_23\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \axi_rdata[4]_i_23\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \axi_rdata[5]_i_23\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \axi_rdata[7]_i_23\ : label is "soft_lutpair4"; + attribute SOFT_HLUTNM of \axi_rdata[6]_i_23\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \axi_rdata[8]_i_23\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \axi_rdata[9]_i_23\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \axi_rresp[1]_i_2\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of axi_rvalid_i_1 : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \axi_rresp[1]_i_2\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of axi_rvalid_i_1 : label is "soft_lutpair19"; attribute SOFT_HLUTNM of axi_wready_i_1 : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \data_rw_o[103][31]_i_3\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \data_rw_o[16][31]_i_3\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \data_rw_o[17][31]_i_3\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \data_rw_o[103][31]_i_3\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \data_rw_o[16][31]_i_3\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \data_rw_o[17][31]_i_3\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \data_rw_o[19][31]_i_3\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \data_rw_o[23][31]_i_3\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \data_rw_o[23][31]_i_3\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \data_rw_o[23][31]_i_5\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \data_rw_o[31][31]_i_3\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \data_rw_o[32][31]_i_3\ : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \data_rw_o[32][31]_i_4\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \data_rw_o[33][31]_i_3\ : label is "soft_lutpair24"; - attribute SOFT_HLUTNM of \data_rw_o[35][31]_i_3\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \data_rw_o[32][31]_i_4\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \data_rw_o[33][31]_i_3\ : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of \data_rw_o[35][31]_i_3\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \data_rw_o[3][31]_i_4\ : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \data_rw_o[3][31]_i_7\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \data_rw_o[78][31]_i_3\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \data_rw_o[78][31]_i_4\ : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of \data_rw_o[78][31]_i_5\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \data_rw_o[3][31]_i_7\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \data_rw_o[78][31]_i_3\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \data_rw_o[78][31]_i_4\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \data_rw_o[78][31]_i_5\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \data_rw_o[84][31]_i_3\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \data_rw_o[85][31]_i_3\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \data_rw_o[85][31]_i_4\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \data_rw_o[86][31]_i_3\ : label is "soft_lutpair24"; - attribute SOFT_HLUTNM of \data_rw_o[87][31]_i_3\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \data_rw_o[85][31]_i_3\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \data_rw_o[85][31]_i_4\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \data_rw_o[86][31]_i_3\ : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of \data_rw_o[87][31]_i_3\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \data_rw_o[88][31]_i_3\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \data_rw_o[89][31]_i_3\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \data_rw_o[89][31]_i_4\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \data_rw_o[89][31]_i_3\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \data_rw_o[89][31]_i_4\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \data_rw_o[93][31]_i_3\ : label is "soft_lutpair21"; - attribute SOFT_HLUTNM of \data_rw_o[94][31]_i_3\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \data_rw_o[94][31]_i_3\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \data_rw_o[96][31]_i_3\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \data_rw_o[97][31]_i_3\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \data_rw_o[99][31]_i_3\ : label is "soft_lutpair25"; - attribute SOFT_HLUTNM of dig_out6_n_INST_0 : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \dig_outs_i[0]_INST_0\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \data_rw_o[97][31]_i_3\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \data_rw_o[99][31]_i_3\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of dig_out6_n_INST_0 : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \dig_outs_i[0]_INST_0\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \v_dout[0]_i_1\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \v_dout[0]_i_1__0\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \v_dout[1]_i_1\ : label is "soft_lutpair35"; @@ -3877,7 +3877,7 @@ begin C => s00_axi_aclk, CE => axi_arready_i_1_n_0, D => s00_axi_araddr(0), - Q => \^axi_rdata_reg[17]_1\, + Q => \^axi_rdata_reg[17]_0\, R => rst_i ); \axi_araddr_reg[2]_rep__1\: unisim.vcomponents.FDRE @@ -3888,7 +3888,7 @@ begin C => s00_axi_aclk, CE => axi_arready_i_1_n_0, D => s00_axi_araddr(0), - Q => \^axi_rdata_reg[12]_1\, + Q => \^axi_rdata_reg[12]_0\, R => rst_i ); \axi_araddr_reg[2]_rep__2\: unisim.vcomponents.FDRE @@ -3943,7 +3943,7 @@ begin C => s00_axi_aclk, CE => axi_arready_i_1_n_0, D => s00_axi_araddr(1), - Q => \^axi_rdata_reg[17]_0\, + Q => \^axi_rdata_reg[17]_1\, R => rst_i ); \axi_araddr_reg[3]_rep__1\: unisim.vcomponents.FDRE @@ -3954,7 +3954,7 @@ begin C => s00_axi_aclk, CE => axi_arready_i_1_n_0, D => s00_axi_araddr(1), - Q => \^axi_rdata_reg[12]_0\, + Q => \^axi_rdata_reg[12]_1\, R => rst_i ); \axi_araddr_reg[3]_rep__2\: unisim.vcomponents.FDRE @@ -4292,15 +4292,15 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[0]_i_14\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000000F8C83808" + INIT => X"EE55FA00EE00FA00" ) port map ( - I0 => \s_ins_reg[3]\(0), - I1 => \^axi_rdata_reg[2]_1\, - I2 => \^axi_rdata_reg[2]_0\, - I3 => gem_status_vector_i(0), - I4 => \data_rw_o[3]\(0), - I5 => \^axi_rdata_reg[0]_0\, + I0 => \^axi_rdata_reg[0]_0\, + I1 => \data_rw_o[3]\(0), + I2 => gem_status_vector_i(0), + I3 => \^axi_rdata_reg[2]_0\, + I4 => \^axi_rdata_reg[2]_1\, + I5 => \s_ins_reg[3]\(0), O => \axi_rdata[0]_i_14_n_0\ ); \axi_rdata[0]_i_17\: unisim.vcomponents.LUT6 @@ -4553,9 +4553,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[12]_1\, + I0 => \^axi_rdata_reg[12]_0\, I1 => \s_datao_fmc1[4]\(2), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^axi_rdata_reg[18]_0\, I4 => \axi_rdata[10]_i_33_n_0\, O => \axi_rdata[10]_i_13_n_0\ @@ -4566,9 +4566,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ) port map ( I0 => \^axi_rdata_reg[18]_0\, - I1 => \^axi_rdata_reg[12]_0\, + I1 => \^axi_rdata_reg[12]_1\, I2 => gem_status_vector_i(10), - I3 => \^axi_rdata_reg[12]_1\, + I3 => \^axi_rdata_reg[12]_0\, I4 => \data_rw_o_reg_n_0_[3][10]\, O => \axi_rdata[10]_i_14_n_0\ ); @@ -4579,9 +4579,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[10]_i_34_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \dac_ch_o_reg[0][31]_0\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^axi_rdata_reg[10]_0\, O => \axi_rdata[10]_i_17_n_0\ ); @@ -4592,9 +4592,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[10]_i_37_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \s_datao_fmc2[4]\(2), - I4 => \^axi_rdata_reg[12]_0\, + I4 => \^axi_rdata_reg[12]_1\, O => \axi_rdata[10]_i_19_n_0\ ); \axi_rdata[10]_i_23\: unisim.vcomponents.LUT4 @@ -4602,9 +4602,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[12]_0\, + I0 => \^axi_rdata_reg[12]_1\, I1 => \dac_ch_o_reg[0][31]\(10), - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[10]_i_23_n_0\ ); @@ -4615,9 +4615,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[2].[3].s_reqs_reg[11][value][11]\(10), I1 => \^[2].[2].s_reqs_reg[10][value][11]\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[2].[1].s_reqs_reg[9][value][11]\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[2].[0].s_reqs_reg[8][value][11]\(10), O => \axi_rdata[10]_i_29_n_0\ ); @@ -4628,9 +4628,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[3].[3].s_reqs_reg[15][value][11]\(10), I1 => \^[3].[2].s_reqs_reg[14][value][11]\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[3].[1].s_reqs_reg[13][value][11]\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[3].[0].s_reqs_reg[12][value][11]\(10), O => \axi_rdata[10]_i_30_n_0\ ); @@ -4641,9 +4641,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^q\(10), I1 => \^[0].[2].s_reqs_reg[2][value][11]\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[0].[1].s_reqs_reg[1][value][11]\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[0].[0].s_reqs_reg[0][value][11]\(10), O => \axi_rdata[10]_i_31_n_0\ ); @@ -4654,9 +4654,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[1].[3].s_reqs_reg[7][value][11]\(10), I1 => \^[1].[2].s_reqs_reg[6][value][11]\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[1].[1].s_reqs_reg[5][value][11]\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[1].[0].s_reqs_reg[4][value][11]\(10), O => \axi_rdata[10]_i_32_n_0\ ); @@ -4667,9 +4667,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][10]\, I1 => \data_rw_o_reg_n_0_[10][10]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc1[0]\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[10]_i_33_n_0\ ); \axi_rdata[10]_i_34\: unisim.vcomponents.LUT6 @@ -4679,9 +4679,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[4].[3].s_reqs_reg[19][value][11]\(10), I1 => \^[4].[2].s_reqs_reg[18][value][11]\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[4].[1].s_reqs_reg[17][value][11]\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[4].[0].s_reqs_reg[16][value][11]\(10), O => \axi_rdata[10]_i_34_n_0\ ); @@ -4692,9 +4692,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[1].[3].s_reqs_reg[7][value][11]_0\(10), I1 => \^[1].[2].s_reqs_reg[6][value][11]_0\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[1].[1].s_reqs_reg[5][value][11]_0\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[1].[0].s_reqs_reg[4][value][11]_0\(10), O => \axi_rdata[10]_i_35_n_0\ ); @@ -4705,9 +4705,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[2].[3].s_reqs_reg[11][value][11]_0\(10), I1 => \^[2].[2].s_reqs_reg[10][value][11]_0\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[2].[1].s_reqs_reg[9][value][11]_0\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[2].[0].s_reqs_reg[8][value][11]_0\(10), O => \axi_rdata[10]_i_36_n_0\ ); @@ -4718,9 +4718,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[0].[3].s_reqs_reg[3][value][11]\(10), I1 => \^[0].[2].s_reqs_reg[2][value][11]_0\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[0].[1].s_reqs_reg[1][value][11]_0\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[0].[0].s_reqs_reg[0][value][11]_0\(10), O => \axi_rdata[10]_i_37_n_0\ ); @@ -4731,9 +4731,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][10]\, I1 => \data_rw_o_reg_n_0_[78][10]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc2[0]\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[10]_i_39_n_0\ ); \axi_rdata[10]_i_42\: unisim.vcomponents.LUT6 @@ -4743,9 +4743,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[3].[3].s_reqs_reg[15][value][11]_0\(10), I1 => \^[3].[2].s_reqs_reg[14][value][11]_0\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[3].[1].s_reqs_reg[13][value][11]_0\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[3].[0].s_reqs_reg[12][value][11]_0\(10), O => \axi_rdata[10]_i_42_n_0\ ); @@ -4756,9 +4756,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[4].[3].s_reqs_reg[19][value][11]_0\(10), I1 => \^[4].[2].s_reqs_reg[18][value][11]_0\(10), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[4].[1].s_reqs_reg[17][value][11]_0\(10), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[4].[0].s_reqs_reg[16][value][11]_0\(10), O => \axi_rdata[10]_i_43_n_0\ ); @@ -4832,22 +4832,22 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[12]_1\, + I0 => \^axi_rdata_reg[12]_0\, I1 => \s_datao_fmc1[4]\(3), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^axi_rdata_reg[18]_0\, I4 => \axi_rdata[11]_i_33_n_0\, O => \axi_rdata[11]_i_13_n_0\ ); \axi_rdata[11]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"CC408840" + INIT => X"CCC888C8" ) port map ( I0 => \^axi_rdata_reg[18]_0\, - I1 => \^axi_rdata_reg[12]_0\, + I1 => \^axi_rdata_reg[12]_1\, I2 => gem_status_vector_i(11), - I3 => \^axi_rdata_reg[12]_1\, + I3 => \^axi_rdata_reg[12]_0\, I4 => \data_rw_o_reg_n_0_[3][11]\, O => \axi_rdata[11]_i_14_n_0\ ); @@ -4858,9 +4858,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[11]_i_34_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \dac_ch_o_reg[0][31]_0\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^axi_rdata_reg[23]_0\(3), O => \axi_rdata[11]_i_17_n_0\ ); @@ -4871,9 +4871,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[11]_i_37_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \s_datao_fmc2[4]\(3), - I4 => \^axi_rdata_reg[12]_0\, + I4 => \^axi_rdata_reg[12]_1\, O => \axi_rdata[11]_i_19_n_0\ ); \axi_rdata[11]_i_23\: unisim.vcomponents.LUT4 @@ -4881,9 +4881,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[12]_0\, + I0 => \^axi_rdata_reg[12]_1\, I1 => \dac_ch_o_reg[0][31]\(11), - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[11]_i_23_n_0\ ); @@ -4894,9 +4894,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[2].[3].s_reqs_reg[11][value][11]\(11), I1 => \^[2].[2].s_reqs_reg[10][value][11]\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[2].[1].s_reqs_reg[9][value][11]\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[2].[0].s_reqs_reg[8][value][11]\(11), O => \axi_rdata[11]_i_29_n_0\ ); @@ -4907,9 +4907,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[3].[3].s_reqs_reg[15][value][11]\(11), I1 => \^[3].[2].s_reqs_reg[14][value][11]\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[3].[1].s_reqs_reg[13][value][11]\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[3].[0].s_reqs_reg[12][value][11]\(11), O => \axi_rdata[11]_i_30_n_0\ ); @@ -4920,9 +4920,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^q\(11), I1 => \^[0].[2].s_reqs_reg[2][value][11]\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[0].[1].s_reqs_reg[1][value][11]\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[0].[0].s_reqs_reg[0][value][11]\(11), O => \axi_rdata[11]_i_31_n_0\ ); @@ -4933,9 +4933,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[1].[3].s_reqs_reg[7][value][11]\(11), I1 => \^[1].[2].s_reqs_reg[6][value][11]\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[1].[1].s_reqs_reg[5][value][11]\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[1].[0].s_reqs_reg[4][value][11]\(11), O => \axi_rdata[11]_i_32_n_0\ ); @@ -4946,9 +4946,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][11]\, I1 => \data_rw_o_reg_n_0_[10][11]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc1[0]\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[11]_i_33_n_0\ ); \axi_rdata[11]_i_34\: unisim.vcomponents.LUT6 @@ -4958,9 +4958,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[4].[3].s_reqs_reg[19][value][11]\(11), I1 => \^[4].[2].s_reqs_reg[18][value][11]\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[4].[1].s_reqs_reg[17][value][11]\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[4].[0].s_reqs_reg[16][value][11]\(11), O => \axi_rdata[11]_i_34_n_0\ ); @@ -4971,9 +4971,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[1].[3].s_reqs_reg[7][value][11]_0\(11), I1 => \^[1].[2].s_reqs_reg[6][value][11]_0\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[1].[1].s_reqs_reg[5][value][11]_0\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[1].[0].s_reqs_reg[4][value][11]_0\(11), O => \axi_rdata[11]_i_35_n_0\ ); @@ -4984,9 +4984,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[2].[3].s_reqs_reg[11][value][11]_0\(11), I1 => \^[2].[2].s_reqs_reg[10][value][11]_0\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[2].[1].s_reqs_reg[9][value][11]_0\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[2].[0].s_reqs_reg[8][value][11]_0\(11), O => \axi_rdata[11]_i_36_n_0\ ); @@ -4997,9 +4997,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[0].[3].s_reqs_reg[3][value][11]\(11), I1 => \^[0].[2].s_reqs_reg[2][value][11]_0\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[0].[1].s_reqs_reg[1][value][11]_0\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[0].[0].s_reqs_reg[0][value][11]_0\(11), O => \axi_rdata[11]_i_37_n_0\ ); @@ -5010,9 +5010,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][11]\, I1 => \data_rw_o_reg_n_0_[78][11]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc2[0]\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[11]_i_39_n_0\ ); \axi_rdata[11]_i_42\: unisim.vcomponents.LUT6 @@ -5022,9 +5022,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[3].[3].s_reqs_reg[15][value][11]_0\(11), I1 => \^[3].[2].s_reqs_reg[14][value][11]_0\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[3].[1].s_reqs_reg[13][value][11]_0\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[3].[0].s_reqs_reg[12][value][11]_0\(11), O => \axi_rdata[11]_i_42_n_0\ ); @@ -5035,9 +5035,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[4].[3].s_reqs_reg[19][value][11]_0\(11), I1 => \^[4].[2].s_reqs_reg[18][value][11]_0\(11), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[4].[1].s_reqs_reg[17][value][11]_0\(11), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[4].[0].s_reqs_reg[16][value][11]_0\(11), O => \axi_rdata[11]_i_43_n_0\ ); @@ -5111,23 +5111,23 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[12]_1\, + I0 => \^axi_rdata_reg[12]_0\, I1 => \s_datao_fmc1[4]\(4), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^axi_rdata_reg[18]_0\, I4 => \axi_rdata[12]_i_33_n_0\, O => \axi_rdata[12]_i_13_n_0\ ); \axi_rdata[12]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"0000B800" + INIT => X"44C800C8" ) port map ( - I0 => \data_rw_o_reg_n_0_[3][12]\, + I0 => \^axi_rdata_reg[18]_0\, I1 => \^axi_rdata_reg[12]_1\, I2 => gem_status_vector_i(12), I3 => \^axi_rdata_reg[12]_0\, - I4 => \^axi_rdata_reg[18]_0\, + I4 => \data_rw_o_reg_n_0_[3][12]\, O => \axi_rdata[12]_i_14_n_0\ ); \axi_rdata[12]_i_17\: unisim.vcomponents.LUT6 @@ -5137,9 +5137,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[12]_i_34_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \dac_ch_o_reg[0][31]_0\(12), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^axi_rdata_reg[23]_0\(3), O => \axi_rdata[12]_i_17_n_0\ ); @@ -5150,9 +5150,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[12]_i_37_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \s_datao_fmc2[4]\(4), - I4 => \^axi_rdata_reg[12]_0\, + I4 => \^axi_rdata_reg[12]_1\, O => \axi_rdata[12]_i_19_n_0\ ); \axi_rdata[12]_i_23\: unisim.vcomponents.LUT4 @@ -5160,9 +5160,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[12]_0\, + I0 => \^axi_rdata_reg[12]_1\, I1 => \dac_ch_o_reg[0][31]\(12), - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[12]_i_23_n_0\ ); @@ -5173,9 +5173,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[27][12]\, I1 => \data_rw_o_reg_n_0_[26][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[25][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[24][12]\, O => \axi_rdata[12]_i_29_n_0\ ); @@ -5186,9 +5186,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[31][12]\, I1 => \data_rw_o_reg_n_0_[30][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[29][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[28][12]\, O => \axi_rdata[12]_i_30_n_0\ ); @@ -5199,9 +5199,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[19][12]\, I1 => \data_rw_o_reg_n_0_[18][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[17][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[16][12]\, O => \axi_rdata[12]_i_31_n_0\ ); @@ -5212,9 +5212,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[23][12]\, I1 => \data_rw_o_reg_n_0_[22][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[21][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[20][12]\, O => \axi_rdata[12]_i_32_n_0\ ); @@ -5225,9 +5225,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][12]\, I1 => \data_rw_o_reg_n_0_[10][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc1[0]\(12), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[12]_i_33_n_0\ ); \axi_rdata[12]_i_34\: unisim.vcomponents.LUT6 @@ -5237,9 +5237,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[35][12]\, I1 => \data_rw_o_reg_n_0_[34][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[33][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[32][12]\, O => \axi_rdata[12]_i_34_n_0\ ); @@ -5250,9 +5250,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[91][12]\, I1 => \data_rw_o_reg_n_0_[90][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[89][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[88][12]\, O => \axi_rdata[12]_i_35_n_0\ ); @@ -5263,9 +5263,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[95][12]\, I1 => \data_rw_o_reg_n_0_[94][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[93][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[92][12]\, O => \axi_rdata[12]_i_36_n_0\ ); @@ -5276,9 +5276,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[87][12]\, I1 => \data_rw_o_reg_n_0_[86][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[85][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[84][12]\, O => \axi_rdata[12]_i_37_n_0\ ); @@ -5289,9 +5289,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][12]\, I1 => \data_rw_o_reg_n_0_[78][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc2[0]\(12), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[12]_i_39_n_0\ ); \axi_rdata[12]_i_42\: unisim.vcomponents.LUT6 @@ -5301,9 +5301,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[99][12]\, I1 => \data_rw_o_reg_n_0_[98][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[97][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[96][12]\, O => \axi_rdata[12]_i_42_n_0\ ); @@ -5314,9 +5314,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[103][12]\, I1 => \data_rw_o_reg_n_0_[102][12]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \data_rw_o_reg_n_0_[101][12]\, - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \data_rw_o_reg_n_0_[100][12]\, O => \axi_rdata[12]_i_43_n_0\ ); @@ -5390,23 +5390,23 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[17]_1\, + I0 => \^axi_rdata_reg[17]_0\, I1 => \s_datao_fmc1[4]\(5), - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \^axi_rdata_reg[18]_0\, I4 => \axi_rdata[13]_i_33_n_0\, O => \axi_rdata[13]_i_13_n_0\ ); \axi_rdata[13]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"CCC888C8" + INIT => X"0000B800" ) port map ( - I0 => \^axi_rdata_reg[18]_0\, + I0 => \data_rw_o_reg_n_0_[3][13]\, I1 => \^axi_rdata_reg[17]_0\, I2 => gem_status_vector_i(13), I3 => \^axi_rdata_reg[17]_1\, - I4 => \data_rw_o_reg_n_0_[3][13]\, + I4 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[13]_i_14_n_0\ ); \axi_rdata[13]_i_17\: unisim.vcomponents.LUT6 @@ -5416,9 +5416,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[13]_i_34_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \dac_ch_o_reg[0][31]_0\(13), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \^axi_rdata_reg[23]_0\(3), O => \axi_rdata[13]_i_17_n_0\ ); @@ -5429,9 +5429,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[13]_i_37_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \s_datao_fmc2[4]\(5), - I4 => \^axi_rdata_reg[17]_0\, + I4 => \^axi_rdata_reg[17]_1\, O => \axi_rdata[13]_i_19_n_0\ ); \axi_rdata[13]_i_23\: unisim.vcomponents.LUT4 @@ -5439,9 +5439,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[17]_0\, + I0 => \^axi_rdata_reg[17]_1\, I1 => \dac_ch_o_reg[0][31]\(13), - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[13]_i_23_n_0\ ); @@ -5452,9 +5452,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[27][13]\, I1 => \data_rw_o_reg_n_0_[26][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[25][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[24][13]\, O => \axi_rdata[13]_i_29_n_0\ ); @@ -5465,9 +5465,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[31][13]\, I1 => \data_rw_o_reg_n_0_[30][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[29][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[28][13]\, O => \axi_rdata[13]_i_30_n_0\ ); @@ -5478,9 +5478,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[19][13]\, I1 => \data_rw_o_reg_n_0_[18][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[17][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[16][13]\, O => \axi_rdata[13]_i_31_n_0\ ); @@ -5491,9 +5491,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[23][13]\, I1 => \data_rw_o_reg_n_0_[22][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[21][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[20][13]\, O => \axi_rdata[13]_i_32_n_0\ ); @@ -5504,9 +5504,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][13]\, I1 => \data_rw_o_reg_n_0_[10][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc1[0]\(13), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[13]_i_33_n_0\ ); \axi_rdata[13]_i_34\: unisim.vcomponents.LUT6 @@ -5516,9 +5516,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[35][13]\, I1 => \data_rw_o_reg_n_0_[34][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[33][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[32][13]\, O => \axi_rdata[13]_i_34_n_0\ ); @@ -5529,9 +5529,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[91][13]\, I1 => \data_rw_o_reg_n_0_[90][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[89][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[88][13]\, O => \axi_rdata[13]_i_35_n_0\ ); @@ -5542,9 +5542,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[95][13]\, I1 => \data_rw_o_reg_n_0_[94][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[93][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[92][13]\, O => \axi_rdata[13]_i_36_n_0\ ); @@ -5555,9 +5555,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[87][13]\, I1 => \data_rw_o_reg_n_0_[86][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[85][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[84][13]\, O => \axi_rdata[13]_i_37_n_0\ ); @@ -5568,9 +5568,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][13]\, I1 => \data_rw_o_reg_n_0_[78][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc2[0]\(13), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[13]_i_39_n_0\ ); \axi_rdata[13]_i_42\: unisim.vcomponents.LUT6 @@ -5580,9 +5580,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[99][13]\, I1 => \data_rw_o_reg_n_0_[98][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[97][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[96][13]\, O => \axi_rdata[13]_i_42_n_0\ ); @@ -5593,9 +5593,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[103][13]\, I1 => \data_rw_o_reg_n_0_[102][13]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[101][13]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[100][13]\, O => \axi_rdata[13]_i_43_n_0\ ); @@ -5669,9 +5669,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[17]_1\, + I0 => \^axi_rdata_reg[17]_0\, I1 => \s_datao_fmc1[4]\(6), - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \^axi_rdata_reg[18]_0\, I4 => \axi_rdata[14]_i_33_n_0\, O => \axi_rdata[14]_i_13_n_0\ @@ -5682,9 +5682,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ) port map ( I0 => \data_rw_o_reg_n_0_[3][14]\, - I1 => \^axi_rdata_reg[17]_1\, + I1 => \^axi_rdata_reg[17]_0\, I2 => gem_status_vector_i(14), - I3 => \^axi_rdata_reg[17]_0\, + I3 => \^axi_rdata_reg[17]_1\, I4 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[14]_i_14_n_0\ ); @@ -5695,9 +5695,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[14]_i_34_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \dac_ch_o_reg[0][31]_0\(14), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \^axi_rdata_reg[23]_0\(3), O => \axi_rdata[14]_i_17_n_0\ ); @@ -5708,9 +5708,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[14]_i_37_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \s_datao_fmc2[4]\(6), - I4 => \^axi_rdata_reg[17]_0\, + I4 => \^axi_rdata_reg[17]_1\, O => \axi_rdata[14]_i_19_n_0\ ); \axi_rdata[14]_i_23\: unisim.vcomponents.LUT4 @@ -5718,9 +5718,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[17]_0\, + I0 => \^axi_rdata_reg[17]_1\, I1 => \dac_ch_o_reg[0][31]\(14), - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[14]_i_23_n_0\ ); @@ -5731,9 +5731,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[27][14]\, I1 => \data_rw_o_reg_n_0_[26][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[25][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[24][14]\, O => \axi_rdata[14]_i_29_n_0\ ); @@ -5744,9 +5744,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[31][14]\, I1 => \data_rw_o_reg_n_0_[30][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[29][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[28][14]\, O => \axi_rdata[14]_i_30_n_0\ ); @@ -5757,9 +5757,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[19][14]\, I1 => \data_rw_o_reg_n_0_[18][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[17][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[16][14]\, O => \axi_rdata[14]_i_31_n_0\ ); @@ -5770,9 +5770,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[23][14]\, I1 => \data_rw_o_reg_n_0_[22][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[21][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[20][14]\, O => \axi_rdata[14]_i_32_n_0\ ); @@ -5783,9 +5783,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][14]\, I1 => \data_rw_o_reg_n_0_[10][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc1[0]\(14), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[14]_i_33_n_0\ ); \axi_rdata[14]_i_34\: unisim.vcomponents.LUT6 @@ -5795,9 +5795,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[35][14]\, I1 => \data_rw_o_reg_n_0_[34][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[33][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[32][14]\, O => \axi_rdata[14]_i_34_n_0\ ); @@ -5808,9 +5808,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[91][14]\, I1 => \data_rw_o_reg_n_0_[90][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[89][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[88][14]\, O => \axi_rdata[14]_i_35_n_0\ ); @@ -5821,9 +5821,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[95][14]\, I1 => \data_rw_o_reg_n_0_[94][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[93][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[92][14]\, O => \axi_rdata[14]_i_36_n_0\ ); @@ -5834,9 +5834,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[87][14]\, I1 => \data_rw_o_reg_n_0_[86][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[85][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[84][14]\, O => \axi_rdata[14]_i_37_n_0\ ); @@ -5847,9 +5847,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][14]\, I1 => \data_rw_o_reg_n_0_[78][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc2[0]\(14), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[14]_i_39_n_0\ ); \axi_rdata[14]_i_42\: unisim.vcomponents.LUT6 @@ -5859,9 +5859,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[99][14]\, I1 => \data_rw_o_reg_n_0_[98][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[97][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[96][14]\, O => \axi_rdata[14]_i_42_n_0\ ); @@ -5872,9 +5872,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[103][14]\, I1 => \data_rw_o_reg_n_0_[102][14]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[101][14]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[100][14]\, O => \axi_rdata[14]_i_43_n_0\ ); @@ -5948,23 +5948,23 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[17]_1\, + I0 => \^axi_rdata_reg[17]_0\, I1 => \s_datao_fmc1[4]\(7), - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \^axi_rdata_reg[18]_0\, I4 => \axi_rdata[15]_i_33_n_0\, O => \axi_rdata[15]_i_13_n_0\ ); \axi_rdata[15]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"0000B800" + INIT => X"44C800C8" ) port map ( - I0 => \data_rw_o_reg_n_0_[3][15]\, + I0 => \^axi_rdata_reg[18]_0\, I1 => \^axi_rdata_reg[17]_1\, I2 => gem_status_vector_i(15), I3 => \^axi_rdata_reg[17]_0\, - I4 => \^axi_rdata_reg[18]_0\, + I4 => \data_rw_o_reg_n_0_[3][15]\, O => \axi_rdata[15]_i_14_n_0\ ); \axi_rdata[15]_i_17\: unisim.vcomponents.LUT6 @@ -5974,9 +5974,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[15]_i_34_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \dac_ch_o_reg[0][31]_0\(15), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \^axi_rdata_reg[23]_0\(3), O => \axi_rdata[15]_i_17_n_0\ ); @@ -5987,9 +5987,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[15]_i_37_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \s_datao_fmc2[4]\(7), - I4 => \^axi_rdata_reg[17]_0\, + I4 => \^axi_rdata_reg[17]_1\, O => \axi_rdata[15]_i_19_n_0\ ); \axi_rdata[15]_i_23\: unisim.vcomponents.LUT4 @@ -5997,9 +5997,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[17]_0\, + I0 => \^axi_rdata_reg[17]_1\, I1 => \dac_ch_o_reg[0][31]\(15), - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[15]_i_23_n_0\ ); @@ -6010,9 +6010,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[27][15]\, I1 => \data_rw_o_reg_n_0_[26][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[25][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[24][15]\, O => \axi_rdata[15]_i_29_n_0\ ); @@ -6023,9 +6023,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[31][15]\, I1 => \data_rw_o_reg_n_0_[30][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[29][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[28][15]\, O => \axi_rdata[15]_i_30_n_0\ ); @@ -6036,9 +6036,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[19][15]\, I1 => \data_rw_o_reg_n_0_[18][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[17][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[16][15]\, O => \axi_rdata[15]_i_31_n_0\ ); @@ -6049,9 +6049,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[23][15]\, I1 => \data_rw_o_reg_n_0_[22][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[21][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[20][15]\, O => \axi_rdata[15]_i_32_n_0\ ); @@ -6062,9 +6062,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][15]\, I1 => \data_rw_o_reg_n_0_[10][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc1[0]\(15), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[15]_i_33_n_0\ ); \axi_rdata[15]_i_34\: unisim.vcomponents.LUT6 @@ -6074,9 +6074,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[35][15]\, I1 => \data_rw_o_reg_n_0_[34][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[33][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[32][15]\, O => \axi_rdata[15]_i_34_n_0\ ); @@ -6087,9 +6087,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[91][15]\, I1 => \data_rw_o_reg_n_0_[90][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[89][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[88][15]\, O => \axi_rdata[15]_i_35_n_0\ ); @@ -6100,9 +6100,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[95][15]\, I1 => \data_rw_o_reg_n_0_[94][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[93][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[92][15]\, O => \axi_rdata[15]_i_36_n_0\ ); @@ -6113,9 +6113,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[87][15]\, I1 => \data_rw_o_reg_n_0_[86][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[85][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[84][15]\, O => \axi_rdata[15]_i_37_n_0\ ); @@ -6126,9 +6126,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][15]\, I1 => \data_rw_o_reg_n_0_[78][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc2[0]\(15), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[15]_i_39_n_0\ ); \axi_rdata[15]_i_42\: unisim.vcomponents.LUT6 @@ -6138,9 +6138,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[99][15]\, I1 => \data_rw_o_reg_n_0_[98][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[97][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[96][15]\, O => \axi_rdata[15]_i_42_n_0\ ); @@ -6151,9 +6151,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[103][15]\, I1 => \data_rw_o_reg_n_0_[102][15]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[101][15]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[100][15]\, O => \axi_rdata[15]_i_43_n_0\ ); @@ -6227,22 +6227,22 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[17]_1\, + I0 => \^axi_rdata_reg[17]_0\, I1 => \s_datao_fmc1[4]\(8), - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \^axi_rdata_reg[18]_0\, I4 => \axi_rdata[16]_i_33_n_0\, O => \axi_rdata[16]_i_13_n_0\ ); \axi_rdata[16]_i_14\: unisim.vcomponents.LUT4 generic map( - INIT => X"C888" + INIT => X"4088" ) port map ( I0 => \^axi_rdata_reg[18]_0\, - I1 => \^axi_rdata_reg[17]_0\, + I1 => \^axi_rdata_reg[17]_1\, I2 => \data_rw_o_reg_n_0_[3][16]\, - I3 => \^axi_rdata_reg[17]_1\, + I3 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[16]_i_14_n_0\ ); \axi_rdata[16]_i_17\: unisim.vcomponents.LUT6 @@ -6252,9 +6252,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[16]_i_34_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \dac_ch_o_reg[0][31]_0\(16), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \^axi_rdata_reg[23]_0\(3), O => \axi_rdata[16]_i_17_n_0\ ); @@ -6265,9 +6265,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[16]_i_37_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \s_datao_fmc2[4]\(8), - I4 => \^axi_rdata_reg[17]_0\, + I4 => \^axi_rdata_reg[17]_1\, O => \axi_rdata[16]_i_19_n_0\ ); \axi_rdata[16]_i_23\: unisim.vcomponents.LUT4 @@ -6275,9 +6275,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[17]_0\, + I0 => \^axi_rdata_reg[17]_1\, I1 => \dac_ch_o_reg[0][31]\(16), - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[16]_i_23_n_0\ ); @@ -6288,9 +6288,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[27][16]\, I1 => \data_rw_o_reg_n_0_[26][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[25][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[24][16]\, O => \axi_rdata[16]_i_29_n_0\ ); @@ -6301,9 +6301,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[31][16]\, I1 => \data_rw_o_reg_n_0_[30][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[29][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[28][16]\, O => \axi_rdata[16]_i_30_n_0\ ); @@ -6314,9 +6314,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[19][16]\, I1 => \data_rw_o_reg_n_0_[18][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[17][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[16][16]\, O => \axi_rdata[16]_i_31_n_0\ ); @@ -6327,9 +6327,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[23][16]\, I1 => \data_rw_o_reg_n_0_[22][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[21][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[20][16]\, O => \axi_rdata[16]_i_32_n_0\ ); @@ -6340,9 +6340,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][16]\, I1 => \data_rw_o_reg_n_0_[10][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc1[0]\(16), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[16]_i_33_n_0\ ); \axi_rdata[16]_i_34\: unisim.vcomponents.LUT6 @@ -6352,9 +6352,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[35][16]\, I1 => \data_rw_o_reg_n_0_[34][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[33][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[32][16]\, O => \axi_rdata[16]_i_34_n_0\ ); @@ -6365,9 +6365,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[91][16]\, I1 => \data_rw_o_reg_n_0_[90][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[89][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[88][16]\, O => \axi_rdata[16]_i_35_n_0\ ); @@ -6378,9 +6378,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[95][16]\, I1 => \data_rw_o_reg_n_0_[94][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[93][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[92][16]\, O => \axi_rdata[16]_i_36_n_0\ ); @@ -6391,9 +6391,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[87][16]\, I1 => \data_rw_o_reg_n_0_[86][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[85][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[84][16]\, O => \axi_rdata[16]_i_37_n_0\ ); @@ -6404,9 +6404,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][16]\, I1 => \data_rw_o_reg_n_0_[78][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc2[0]\(16), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[16]_i_39_n_0\ ); \axi_rdata[16]_i_42\: unisim.vcomponents.LUT6 @@ -6416,9 +6416,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[99][16]\, I1 => \data_rw_o_reg_n_0_[98][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[97][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[96][16]\, O => \axi_rdata[16]_i_42_n_0\ ); @@ -6429,9 +6429,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[103][16]\, I1 => \data_rw_o_reg_n_0_[102][16]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[101][16]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[100][16]\, O => \axi_rdata[16]_i_43_n_0\ ); @@ -6505,9 +6505,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[17]_1\, + I0 => \^axi_rdata_reg[17]_0\, I1 => \s_datao_fmc1[4]\(9), - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \^axi_rdata_reg[18]_0\, I4 => \axi_rdata[17]_i_33_n_0\, O => \axi_rdata[17]_i_13_n_0\ @@ -6518,9 +6518,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ) port map ( I0 => \^axi_rdata_reg[18]_0\, - I1 => \^axi_rdata_reg[17]_0\, + I1 => \^axi_rdata_reg[17]_1\, I2 => \data_rw_o_reg_n_0_[3][17]\, - I3 => \^axi_rdata_reg[17]_1\, + I3 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[17]_i_14_n_0\ ); \axi_rdata[17]_i_17\: unisim.vcomponents.LUT6 @@ -6530,9 +6530,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[17]_i_34_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \dac_ch_o_reg[0][31]_0\(17), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \^axi_rdata_reg[23]_0\(3), O => \axi_rdata[17]_i_17_n_0\ ); @@ -6543,9 +6543,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[17]_i_37_n_0\, I1 => \^axi_rdata_reg[18]_0\, - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \s_datao_fmc2[4]\(9), - I4 => \^axi_rdata_reg[17]_0\, + I4 => \^axi_rdata_reg[17]_1\, O => \axi_rdata[17]_i_19_n_0\ ); \axi_rdata[17]_i_23\: unisim.vcomponents.LUT4 @@ -6553,9 +6553,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[17]_0\, + I0 => \^axi_rdata_reg[17]_1\, I1 => \dac_ch_o_reg[0][31]\(17), - I2 => \^axi_rdata_reg[17]_1\, + I2 => \^axi_rdata_reg[17]_0\, I3 => \^axi_rdata_reg[18]_0\, O => \axi_rdata[17]_i_23_n_0\ ); @@ -6566,9 +6566,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[27][17]\, I1 => \data_rw_o_reg_n_0_[26][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[25][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[24][17]\, O => \axi_rdata[17]_i_29_n_0\ ); @@ -6579,9 +6579,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[31][17]\, I1 => \data_rw_o_reg_n_0_[30][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[29][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[28][17]\, O => \axi_rdata[17]_i_30_n_0\ ); @@ -6592,9 +6592,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[19][17]\, I1 => \data_rw_o_reg_n_0_[18][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[17][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[16][17]\, O => \axi_rdata[17]_i_31_n_0\ ); @@ -6605,9 +6605,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[23][17]\, I1 => \data_rw_o_reg_n_0_[22][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[21][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[20][17]\, O => \axi_rdata[17]_i_32_n_0\ ); @@ -6618,9 +6618,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][17]\, I1 => \data_rw_o_reg_n_0_[10][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc1[0]\(17), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[17]_i_33_n_0\ ); \axi_rdata[17]_i_34\: unisim.vcomponents.LUT6 @@ -6630,9 +6630,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[35][17]\, I1 => \data_rw_o_reg_n_0_[34][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[33][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[32][17]\, O => \axi_rdata[17]_i_34_n_0\ ); @@ -6643,9 +6643,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[91][17]\, I1 => \data_rw_o_reg_n_0_[90][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[89][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[88][17]\, O => \axi_rdata[17]_i_35_n_0\ ); @@ -6656,9 +6656,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[95][17]\, I1 => \data_rw_o_reg_n_0_[94][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[93][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[92][17]\, O => \axi_rdata[17]_i_36_n_0\ ); @@ -6669,9 +6669,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[87][17]\, I1 => \data_rw_o_reg_n_0_[86][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[85][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[84][17]\, O => \axi_rdata[17]_i_37_n_0\ ); @@ -6682,9 +6682,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][17]\, I1 => \data_rw_o_reg_n_0_[78][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \s_datao_fmc2[0]\(17), - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, O => \axi_rdata[17]_i_39_n_0\ ); \axi_rdata[17]_i_42\: unisim.vcomponents.LUT6 @@ -6694,9 +6694,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[99][17]\, I1 => \data_rw_o_reg_n_0_[98][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[97][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[96][17]\, O => \axi_rdata[17]_i_42_n_0\ ); @@ -6707,9 +6707,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[103][17]\, I1 => \data_rw_o_reg_n_0_[102][17]\, - I2 => \^axi_rdata_reg[17]_0\, + I2 => \^axi_rdata_reg[17]_1\, I3 => \data_rw_o_reg_n_0_[101][17]\, - I4 => \^axi_rdata_reg[17]_1\, + I4 => \^axi_rdata_reg[17]_0\, I5 => \data_rw_o_reg_n_0_[100][17]\, O => \axi_rdata[17]_i_43_n_0\ ); @@ -6792,7 +6792,7 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[18]_i_14\: unisim.vcomponents.LUT4 generic map( - INIT => X"C888" + INIT => X"4088" ) port map ( I0 => \^axi_rdata_reg[18]_0\, @@ -7070,7 +7070,7 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[19]_i_14\: unisim.vcomponents.LUT4 generic map( - INIT => X"4088" + INIT => X"C800" ) port map ( I0 => \^axi_rdata_reg[23]_0\(2), @@ -7336,7 +7336,7 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[1]_i_14\: unisim.vcomponents.LUT6 generic map( - INIT => X"EE55FA00EE00FA00" + INIT => X"EE555000EE005000" ) port map ( I0 => \^axi_rdata_reg[9]_0\, @@ -7946,13 +7946,13 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[21]_i_24\: unisim.vcomponents.LUT4 generic map( - INIT => X"0080" + INIT => X"C888" ) port map ( - I0 => \^axi_rdata_reg[22]_1\, - I1 => \data_rw_o_reg_n_0_[3][21]\, - I2 => \^axi_rdata_reg[22]_0\, - I3 => \^axi_rdata_reg[23]_0\(2), + I0 => \^axi_rdata_reg[23]_0\(2), + I1 => \^axi_rdata_reg[22]_0\, + I2 => \data_rw_o_reg_n_0_[3][21]\, + I3 => \^axi_rdata_reg[22]_1\, O => \axi_rdata[21]_i_24_n_0\ ); \axi_rdata[21]_i_25\: unisim.vcomponents.LUT6 @@ -8224,7 +8224,7 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[22]_i_24\: unisim.vcomponents.LUT4 generic map( - INIT => X"C888" + INIT => X"C800" ) port map ( I0 => \^axi_rdata_reg[23]_0\(2), @@ -8500,7 +8500,7 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[23]_i_25\: unisim.vcomponents.LUT4 generic map( - INIT => X"4088" + INIT => X"C800" ) port map ( I0 => \^axi_rdata_reg[23]_0\(2), @@ -8932,15 +8932,15 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[24]_i_9\: unisim.vcomponents.LUT6 generic map( - INIT => X"8B888888B888B888" + INIT => X"88888888B8888888" ) port map ( I0 => \axi_rdata[24]_i_17_n_0\, I1 => \^axi_rdata_reg[23]_0\(3), - I2 => \^axi_rdata_reg[23]_0\(2), - I3 => \^axi_rdata_reg[23]_0\(1), - I4 => \data_rw_o_reg_n_0_[3][24]\, - I5 => \^axi_rdata_reg[23]_0\(0), + I2 => \^axi_rdata_reg[23]_0\(0), + I3 => \data_rw_o_reg_n_0_[3][24]\, + I4 => \^axi_rdata_reg[23]_0\(1), + I5 => \^axi_rdata_reg[23]_0\(2), O => \axi_rdata[24]_i_9_n_0\ ); \axi_rdata[25]_i_1\: unisim.vcomponents.LUT4 @@ -9173,7 +9173,7 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[25]_i_9\: unisim.vcomponents.LUT6 generic map( - INIT => X"BB88B88888888888" + INIT => X"BB88B888B888B888" ) port map ( I0 => \axi_rdata[25]_i_17_n_0\, @@ -9414,15 +9414,15 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[26]_i_9\: unisim.vcomponents.LUT6 generic map( - INIT => X"88888888B8888888" + INIT => X"BB88B88888888888" ) port map ( I0 => \axi_rdata[26]_i_17_n_0\, I1 => \^axi_rdata_reg[23]_0\(3), - I2 => \^axi_rdata_reg[23]_0\(0), - I3 => \data_rw_o_reg_n_0_[3][26]\, - I4 => \^axi_rdata_reg[23]_0\(1), - I5 => \^axi_rdata_reg[23]_0\(2), + I2 => \^axi_rdata_reg[23]_0\(2), + I3 => \^axi_rdata_reg[23]_0\(1), + I4 => \data_rw_o_reg_n_0_[3][26]\, + I5 => \^axi_rdata_reg[23]_0\(0), O => \axi_rdata[26]_i_9_n_0\ ); \axi_rdata[27]_i_1\: unisim.vcomponents.LUT4 @@ -9896,7 +9896,7 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[28]_i_9\: unisim.vcomponents.LUT6 generic map( - INIT => X"BB88B888B888B888" + INIT => X"8B888888B888B888" ) port map ( I0 => \axi_rdata[28]_i_17_n_0\, @@ -10137,15 +10137,15 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[29]_i_9\: unisim.vcomponents.LUT6 generic map( - INIT => X"88888888B8888888" + INIT => X"BB88B88888888888" ) port map ( I0 => \axi_rdata[29]_i_17_n_0\, I1 => \^axi_rdata_reg[23]_0\(3), - I2 => \^axi_rdata_reg[23]_0\(0), - I3 => \data_rw_o_reg_n_0_[3][29]\, - I4 => \^axi_rdata_reg[23]_0\(1), - I5 => \^axi_rdata_reg[23]_0\(2), + I2 => \^axi_rdata_reg[23]_0\(2), + I3 => \^axi_rdata_reg[23]_0\(1), + I4 => \data_rw_o_reg_n_0_[3][29]\, + I5 => \^axi_rdata_reg[23]_0\(0), O => \axi_rdata[29]_i_9_n_0\ ); \axi_rdata[2]_i_1\: unisim.vcomponents.LUT6 @@ -10163,15 +10163,15 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[2]_i_14\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000000F8C83808" + INIT => X"4455FA004400FA00" ) port map ( - I0 => \s_ins_reg[3]\(2), - I1 => \^axi_rdata_reg[2]_1\, - I2 => \^axi_rdata_reg[2]_0\, - I3 => gem_status_vector_i(2), - I4 => \data_rw_o_reg_n_0_[3][2]\, - I5 => \^axi_rdata_reg[9]_0\, + I0 => \^axi_rdata_reg[9]_0\, + I1 => \data_rw_o_reg_n_0_[3][2]\, + I2 => gem_status_vector_i(2), + I3 => \^axi_rdata_reg[2]_0\, + I4 => \^axi_rdata_reg[2]_1\, + I5 => \s_ins_reg[3]\(2), O => \axi_rdata[2]_i_14_n_0\ ); \axi_rdata[2]_i_17\: unisim.vcomponents.LUT6 @@ -10913,15 +10913,15 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[3]_i_14\: unisim.vcomponents.LUT6 generic map( - INIT => X"EE55FA00EE00FA00" + INIT => X"00000000F8C83808" ) port map ( - I0 => \^axi_rdata_reg[9]_0\, - I1 => \data_rw_o_reg_n_0_[3][3]\, - I2 => gem_status_vector_i(3), - I3 => \^axi_rdata_reg[7]_0\, - I4 => \^axi_rdata_reg[7]_1\, - I5 => \s_ins_reg[3]\(3), + I0 => \s_ins_reg[3]\(3), + I1 => \^axi_rdata_reg[7]_1\, + I2 => \^axi_rdata_reg[7]_0\, + I3 => gem_status_vector_i(3), + I4 => \data_rw_o_reg_n_0_[3][3]\, + I5 => \^axi_rdata_reg[9]_0\, O => \axi_rdata[3]_i_14_n_0\ ); \axi_rdata[3]_i_17\: unisim.vcomponents.LUT6 @@ -11171,7 +11171,7 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[4]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"CCC888C8" + INIT => X"CC408840" ) port map ( I0 => \^axi_rdata_reg[9]_0\, @@ -11426,14 +11426,14 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[5]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"0000B800" + INIT => X"CCC888C8" ) port map ( - I0 => \data_rw_o_reg_n_0_[3][5]\, - I1 => \^axi_rdata_reg[7]_1\, + I0 => \^axi_rdata_reg[9]_0\, + I1 => \^axi_rdata_reg[7]_0\, I2 => gem_status_vector_i(5), - I3 => \^axi_rdata_reg[7]_0\, - I4 => \^axi_rdata_reg[9]_0\, + I3 => \^axi_rdata_reg[7]_1\, + I4 => \data_rw_o_reg_n_0_[3][5]\, O => \axi_rdata[5]_i_14_n_0\ ); \axi_rdata[5]_i_17\: unisim.vcomponents.LUT6 @@ -11936,14 +11936,14 @@ axi_bvalid_reg: unisim.vcomponents.FDRE ); \axi_rdata[7]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"0000B800" + INIT => X"CC408840" ) port map ( - I0 => \data_rw_o_reg_n_0_[3][7]\, - I1 => \^axi_rdata_reg[7]_1\, + I0 => \^axi_rdata_reg[9]_0\, + I1 => \^axi_rdata_reg[7]_0\, I2 => gem_status_vector_i(7), - I3 => \^axi_rdata_reg[7]_0\, - I4 => \^axi_rdata_reg[9]_0\, + I3 => \^axi_rdata_reg[7]_1\, + I4 => \data_rw_o_reg_n_0_[3][7]\, O => \axi_rdata[7]_i_14_n_0\ ); \axi_rdata[7]_i_17\: unisim.vcomponents.LUT6 @@ -12194,23 +12194,23 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[12]_1\, + I0 => \^axi_rdata_reg[12]_0\, I1 => \s_datao_fmc1[4]\(0), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^axi_rdata_reg[9]_0\, I4 => \axi_rdata[8]_i_33_n_0\, O => \axi_rdata[8]_i_13_n_0\ ); \axi_rdata[8]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"CCC888C8" + INIT => X"0000B800" ) port map ( - I0 => \^axi_rdata_reg[9]_0\, + I0 => \data_rw_o_reg_n_0_[3][8]\, I1 => \^axi_rdata_reg[12]_0\, I2 => gem_status_vector_i(8), I3 => \^axi_rdata_reg[12]_1\, - I4 => \data_rw_o_reg_n_0_[3][8]\, + I4 => \^axi_rdata_reg[9]_0\, O => \axi_rdata[8]_i_14_n_0\ ); \axi_rdata[8]_i_17\: unisim.vcomponents.LUT6 @@ -12220,9 +12220,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[8]_i_34_n_0\, I1 => \^axi_rdata_reg[9]_0\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \dac_ch_o_reg[0][31]_0\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^axi_rdata_reg[10]_0\, O => \axi_rdata[8]_i_17_n_0\ ); @@ -12233,9 +12233,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[8]_i_37_n_0\, I1 => \^axi_rdata_reg[9]_0\, - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \s_datao_fmc2[4]\(0), - I4 => \^axi_rdata_reg[12]_0\, + I4 => \^axi_rdata_reg[12]_1\, O => \axi_rdata[8]_i_19_n_0\ ); \axi_rdata[8]_i_23\: unisim.vcomponents.LUT4 @@ -12243,9 +12243,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[12]_0\, + I0 => \^axi_rdata_reg[12]_1\, I1 => \dac_ch_o_reg[0][31]\(8), - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \^axi_rdata_reg[9]_0\, O => \axi_rdata[8]_i_23_n_0\ ); @@ -12256,9 +12256,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[2].[3].s_reqs_reg[11][value][11]\(8), I1 => \^[2].[2].s_reqs_reg[10][value][11]\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[2].[1].s_reqs_reg[9][value][11]\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[2].[0].s_reqs_reg[8][value][11]\(8), O => \axi_rdata[8]_i_29_n_0\ ); @@ -12269,9 +12269,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[3].[3].s_reqs_reg[15][value][11]\(8), I1 => \^[3].[2].s_reqs_reg[14][value][11]\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[3].[1].s_reqs_reg[13][value][11]\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[3].[0].s_reqs_reg[12][value][11]\(8), O => \axi_rdata[8]_i_30_n_0\ ); @@ -12282,9 +12282,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^q\(8), I1 => \^[0].[2].s_reqs_reg[2][value][11]\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[0].[1].s_reqs_reg[1][value][11]\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[0].[0].s_reqs_reg[0][value][11]\(8), O => \axi_rdata[8]_i_31_n_0\ ); @@ -12295,9 +12295,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[1].[3].s_reqs_reg[7][value][11]\(8), I1 => \^[1].[2].s_reqs_reg[6][value][11]\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[1].[1].s_reqs_reg[5][value][11]\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[1].[0].s_reqs_reg[4][value][11]\(8), O => \axi_rdata[8]_i_32_n_0\ ); @@ -12308,9 +12308,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][8]\, I1 => \data_rw_o_reg_n_0_[10][8]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc1[0]\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[8]_i_33_n_0\ ); \axi_rdata[8]_i_34\: unisim.vcomponents.LUT6 @@ -12320,9 +12320,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[4].[3].s_reqs_reg[19][value][11]\(8), I1 => \^[4].[2].s_reqs_reg[18][value][11]\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[4].[1].s_reqs_reg[17][value][11]\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[4].[0].s_reqs_reg[16][value][11]\(8), O => \axi_rdata[8]_i_34_n_0\ ); @@ -12333,9 +12333,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[1].[3].s_reqs_reg[7][value][11]_0\(8), I1 => \^[1].[2].s_reqs_reg[6][value][11]_0\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[1].[1].s_reqs_reg[5][value][11]_0\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[1].[0].s_reqs_reg[4][value][11]_0\(8), O => \axi_rdata[8]_i_35_n_0\ ); @@ -12346,9 +12346,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[2].[3].s_reqs_reg[11][value][11]_0\(8), I1 => \^[2].[2].s_reqs_reg[10][value][11]_0\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[2].[1].s_reqs_reg[9][value][11]_0\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[2].[0].s_reqs_reg[8][value][11]_0\(8), O => \axi_rdata[8]_i_36_n_0\ ); @@ -12359,9 +12359,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[0].[3].s_reqs_reg[3][value][11]\(8), I1 => \^[0].[2].s_reqs_reg[2][value][11]_0\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[0].[1].s_reqs_reg[1][value][11]_0\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[0].[0].s_reqs_reg[0][value][11]_0\(8), O => \axi_rdata[8]_i_37_n_0\ ); @@ -12372,9 +12372,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][8]\, I1 => \data_rw_o_reg_n_0_[78][8]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc2[0]\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[8]_i_39_n_0\ ); \axi_rdata[8]_i_42\: unisim.vcomponents.LUT6 @@ -12384,9 +12384,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[3].[3].s_reqs_reg[15][value][11]_0\(8), I1 => \^[3].[2].s_reqs_reg[14][value][11]_0\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[3].[1].s_reqs_reg[13][value][11]_0\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[3].[0].s_reqs_reg[12][value][11]_0\(8), O => \axi_rdata[8]_i_42_n_0\ ); @@ -12397,9 +12397,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[4].[3].s_reqs_reg[19][value][11]_0\(8), I1 => \^[4].[2].s_reqs_reg[18][value][11]_0\(8), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[4].[1].s_reqs_reg[17][value][11]_0\(8), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[4].[0].s_reqs_reg[16][value][11]_0\(8), O => \axi_rdata[8]_i_43_n_0\ ); @@ -12473,22 +12473,22 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"04FF0400" ) port map ( - I0 => \^axi_rdata_reg[12]_1\, + I0 => \^axi_rdata_reg[12]_0\, I1 => \s_datao_fmc1[4]\(1), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^axi_rdata_reg[9]_0\, I4 => \axi_rdata[9]_i_33_n_0\, O => \axi_rdata[9]_i_13_n_0\ ); \axi_rdata[9]_i_14\: unisim.vcomponents.LUT5 generic map( - INIT => X"44C800C8" + INIT => X"CCC888C8" ) port map ( I0 => \^axi_rdata_reg[9]_0\, - I1 => \^axi_rdata_reg[12]_0\, + I1 => \^axi_rdata_reg[12]_1\, I2 => gem_status_vector_i(9), - I3 => \^axi_rdata_reg[12]_1\, + I3 => \^axi_rdata_reg[12]_0\, I4 => \data_rw_o_reg_n_0_[3][9]\, O => \axi_rdata[9]_i_14_n_0\ ); @@ -12499,9 +12499,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[9]_i_34_n_0\, I1 => \^axi_rdata_reg[9]_0\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \dac_ch_o_reg[0][31]_0\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^axi_rdata_reg[10]_0\, O => \axi_rdata[9]_i_17_n_0\ ); @@ -12512,9 +12512,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \axi_rdata[9]_i_37_n_0\, I1 => \^axi_rdata_reg[9]_0\, - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \s_datao_fmc2[4]\(1), - I4 => \^axi_rdata_reg[12]_0\, + I4 => \^axi_rdata_reg[12]_1\, O => \axi_rdata[9]_i_19_n_0\ ); \axi_rdata[9]_i_23\: unisim.vcomponents.LUT4 @@ -12522,9 +12522,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE INIT => X"0004" ) port map ( - I0 => \^axi_rdata_reg[12]_0\, + I0 => \^axi_rdata_reg[12]_1\, I1 => \dac_ch_o_reg[0][31]\(9), - I2 => \^axi_rdata_reg[12]_1\, + I2 => \^axi_rdata_reg[12]_0\, I3 => \^axi_rdata_reg[9]_0\, O => \axi_rdata[9]_i_23_n_0\ ); @@ -12535,9 +12535,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[2].[3].s_reqs_reg[11][value][11]\(9), I1 => \^[2].[2].s_reqs_reg[10][value][11]\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[2].[1].s_reqs_reg[9][value][11]\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[2].[0].s_reqs_reg[8][value][11]\(9), O => \axi_rdata[9]_i_29_n_0\ ); @@ -12548,9 +12548,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[3].[3].s_reqs_reg[15][value][11]\(9), I1 => \^[3].[2].s_reqs_reg[14][value][11]\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[3].[1].s_reqs_reg[13][value][11]\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[3].[0].s_reqs_reg[12][value][11]\(9), O => \axi_rdata[9]_i_30_n_0\ ); @@ -12561,9 +12561,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^q\(9), I1 => \^[0].[2].s_reqs_reg[2][value][11]\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[0].[1].s_reqs_reg[1][value][11]\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[0].[0].s_reqs_reg[0][value][11]\(9), O => \axi_rdata[9]_i_31_n_0\ ); @@ -12574,9 +12574,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[1].[3].s_reqs_reg[7][value][11]\(9), I1 => \^[1].[2].s_reqs_reg[6][value][11]\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[1].[1].s_reqs_reg[5][value][11]\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[1].[0].s_reqs_reg[4][value][11]\(9), O => \axi_rdata[9]_i_32_n_0\ ); @@ -12587,9 +12587,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[11][9]\, I1 => \data_rw_o_reg_n_0_[10][9]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc1[0]\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[9]_i_33_n_0\ ); \axi_rdata[9]_i_34\: unisim.vcomponents.LUT6 @@ -12599,9 +12599,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[4].[3].s_reqs_reg[19][value][11]\(9), I1 => \^[4].[2].s_reqs_reg[18][value][11]\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[4].[1].s_reqs_reg[17][value][11]\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[4].[0].s_reqs_reg[16][value][11]\(9), O => \axi_rdata[9]_i_34_n_0\ ); @@ -12612,9 +12612,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[1].[3].s_reqs_reg[7][value][11]_0\(9), I1 => \^[1].[2].s_reqs_reg[6][value][11]_0\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[1].[1].s_reqs_reg[5][value][11]_0\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[1].[0].s_reqs_reg[4][value][11]_0\(9), O => \axi_rdata[9]_i_35_n_0\ ); @@ -12625,9 +12625,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[2].[3].s_reqs_reg[11][value][11]_0\(9), I1 => \^[2].[2].s_reqs_reg[10][value][11]_0\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[2].[1].s_reqs_reg[9][value][11]_0\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[2].[0].s_reqs_reg[8][value][11]_0\(9), O => \axi_rdata[9]_i_36_n_0\ ); @@ -12638,9 +12638,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[0].[3].s_reqs_reg[3][value][11]\(9), I1 => \^[0].[2].s_reqs_reg[2][value][11]_0\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[0].[1].s_reqs_reg[1][value][11]_0\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[0].[0].s_reqs_reg[0][value][11]_0\(9), O => \axi_rdata[9]_i_37_n_0\ ); @@ -12651,9 +12651,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \data_rw_o_reg_n_0_[79][9]\, I1 => \data_rw_o_reg_n_0_[78][9]\, - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \s_datao_fmc2[0]\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, O => \axi_rdata[9]_i_39_n_0\ ); \axi_rdata[9]_i_42\: unisim.vcomponents.LUT6 @@ -12663,9 +12663,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[3].[3].s_reqs_reg[15][value][11]_0\(9), I1 => \^[3].[2].s_reqs_reg[14][value][11]_0\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[3].[1].s_reqs_reg[13][value][11]_0\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[3].[0].s_reqs_reg[12][value][11]_0\(9), O => \axi_rdata[9]_i_42_n_0\ ); @@ -12676,9 +12676,9 @@ axi_bvalid_reg: unisim.vcomponents.FDRE port map ( I0 => \^[4].[3].s_reqs_reg[19][value][11]_0\(9), I1 => \^[4].[2].s_reqs_reg[18][value][11]_0\(9), - I2 => \^axi_rdata_reg[12]_0\, + I2 => \^axi_rdata_reg[12]_1\, I3 => \^[4].[1].s_reqs_reg[17][value][11]_0\(9), - I4 => \^axi_rdata_reg[12]_1\, + I4 => \^axi_rdata_reg[12]_0\, I5 => \^[4].[0].s_reqs_reg[16][value][11]_0\(9), O => \axi_rdata[9]_i_43_n_0\ ); @@ -107508,13 +107508,13 @@ cmp_general_fmc1: entity work.system_design_fasec_hwtest_0_0_general_fmc \FMC1_LA_P_b[32]\(19 downto 0) => FMC1_LA_P_b(19 downto 0), Q(11 downto 0) => \gen_spi.cmp_dac7716_spi/[0].[3].s_reqs_reg[3][value]__0\(11 downto 0), \axi_araddr_reg[2]_rep\ => cmp_axi4lite_slave_n_524, - \axi_araddr_reg[2]_rep__0\ => cmp_axi4lite_slave_n_522, - \axi_araddr_reg[2]_rep__1\ => cmp_axi4lite_slave_n_519, + \axi_araddr_reg[2]_rep__0\ => cmp_axi4lite_slave_n_521, + \axi_araddr_reg[2]_rep__1\ => cmp_axi4lite_slave_n_518, \axi_araddr_reg[2]_rep__2\ => cmp_axi4lite_slave_n_517, \axi_araddr_reg[2]_rep__3\ => cmp_axi4lite_slave_n_220, \axi_araddr_reg[3]_rep\ => cmp_axi4lite_slave_n_523, - \axi_araddr_reg[3]_rep__0\ => cmp_axi4lite_slave_n_521, - \axi_araddr_reg[3]_rep__1\ => cmp_axi4lite_slave_n_518, + \axi_araddr_reg[3]_rep__0\ => cmp_axi4lite_slave_n_522, + \axi_araddr_reg[3]_rep__1\ => cmp_axi4lite_slave_n_519, \axi_araddr_reg[3]_rep__2\ => cmp_axi4lite_slave_n_516, \axi_araddr_reg[3]_rep__3\ => cmp_axi4lite_slave_n_219, \axi_araddr_reg[4]\(2 downto 0) => axi_araddr(4 downto 2), @@ -107697,13 +107697,13 @@ cmp_general_fmc2: entity work.system_design_fasec_hwtest_0_0_general_fmc_0 \FMC2_LA_P_b[32]\(19 downto 0) => FMC2_LA_P_b(19 downto 0), Q(11 downto 0) => \gen_spi.cmp_dac7716_spi/[0].[3].s_reqs_reg[3][value]__0_15\(11 downto 0), \axi_araddr_reg[2]_rep\ => cmp_axi4lite_slave_n_524, - \axi_araddr_reg[2]_rep__0\ => cmp_axi4lite_slave_n_522, - \axi_araddr_reg[2]_rep__1\ => cmp_axi4lite_slave_n_519, + \axi_araddr_reg[2]_rep__0\ => cmp_axi4lite_slave_n_521, + \axi_araddr_reg[2]_rep__1\ => cmp_axi4lite_slave_n_518, \axi_araddr_reg[2]_rep__2\ => cmp_axi4lite_slave_n_517, \axi_araddr_reg[2]_rep__3\ => cmp_axi4lite_slave_n_220, \axi_araddr_reg[3]_rep\ => cmp_axi4lite_slave_n_523, - \axi_araddr_reg[3]_rep__0\ => cmp_axi4lite_slave_n_521, - \axi_araddr_reg[3]_rep__1\ => cmp_axi4lite_slave_n_518, + \axi_araddr_reg[3]_rep__0\ => cmp_axi4lite_slave_n_522, + \axi_araddr_reg[3]_rep__1\ => cmp_axi4lite_slave_n_519, \axi_araddr_reg[3]_rep__2\ => cmp_axi4lite_slave_n_516, \axi_araddr_reg[3]_rep__3\ => cmp_axi4lite_slave_n_219, \axi_araddr_reg[4]_rep\ => cmp_axi4lite_slave_n_520, diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.v index 6236f97484318012a689522d1f714056d79b76ff..165491d9d027463a82eae86c02178619e3c229ac 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Thu Oct 12 10:10:22 2017 +// Date : Mon Dec 18 11:37:46 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode synth_stub // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.v diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.vhdl index a9efeeb5cf9a222dfe2956805ffa22b46247a2dc..64cbee6d5401e235931edde896020ff497b85e3d 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Thu Oct 12 10:10:22 2017 +-- Date : Mon Dec 18 11:37:46 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode synth_stub -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0_stub.vhdl diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/hdl/verilog/processing_system7_v5_5_processing_system7.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/hdl/verilog/processing_system7_v5_5_processing_system7.v index 816992a993381a58c3923845634d091063b76d3a..8ed659ac1fcded260a0c0b412fb3dbe66e878367 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/hdl/verilog/processing_system7_v5_5_processing_system7.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/hdl/verilog/processing_system7_v5_5_processing_system7.v @@ -149,8 +149,8 @@ // CR #682573 // Added BIBUF to fixed IO ports and IBUF to fixed input ports //------------------------------------------------------------------------------ -(*POWER= "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS33} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS33} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>" *) -(* CORE_GENERATION_INFO = "processing_system7_v5.5 ,processing_system7_v5.5_user_configuration,{ PCW_UIPARAM_DDR_FREQ_MHZ=533.333333, PCW_UIPARAM_DDR_BANK_ADDR_COUNT=3, PCW_UIPARAM_DDR_ROW_ADDR_COUNT=15, PCW_UIPARAM_DDR_COL_ADDR_COUNT=10, PCW_UIPARAM_DDR_CL=7, PCW_UIPARAM_DDR_CWL=6, PCW_UIPARAM_DDR_T_RCD=7, PCW_UIPARAM_DDR_T_RP=7, PCW_UIPARAM_DDR_T_RC=48.75, PCW_UIPARAM_DDR_T_RAS_MIN=35.0, PCW_UIPARAM_DDR_T_FAW=40.0, PCW_UIPARAM_DDR_AL=0, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0=0.069, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1=0.069, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2=0.186, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3=0.185, PCW_UIPARAM_DDR_BOARD_DELAY0=0.310, PCW_UIPARAM_DDR_BOARD_DELAY1=0.310, PCW_UIPARAM_DDR_BOARD_DELAY2=0.368, PCW_UIPARAM_DDR_BOARD_DELAY3=0.368, PCW_UIPARAM_DDR_DQS_0_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_1_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_2_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_3_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_0_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_1_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_2_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_3_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_0_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_1_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_2_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_3_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_0_PACKAGE_LENGTH=119.765, PCW_UIPARAM_DDR_DQS_1_PACKAGE_LENGTH=90.906, PCW_UIPARAM_DDR_DQS_2_PACKAGE_LENGTH=106.248, PCW_UIPARAM_DDR_DQS_3_PACKAGE_LENGTH=113.2035, PCW_UIPARAM_DDR_DQ_0_PACKAGE_LENGTH=120.1555, PCW_UIPARAM_DDR_DQ_1_PACKAGE_LENGTH=97.811, PCW_UIPARAM_DDR_DQ_2_PACKAGE_LENGTH=102.5285, PCW_UIPARAM_DDR_DQ_3_PACKAGE_LENGTH=113.5445, PCW_UIPARAM_DDR_CLOCK_0_PACKAGE_LENGTH=97.8165, PCW_UIPARAM_DDR_CLOCK_1_PACKAGE_LENGTH=97.8165, PCW_UIPARAM_DDR_CLOCK_2_PACKAGE_LENGTH=97.8165, PCW_UIPARAM_DDR_CLOCK_3_PACKAGE_LENGTH=97.8165, PCW_UIPARAM_DDR_DQS_0_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQS_1_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQS_2_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQS_3_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_0_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_1_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_2_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_3_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_0_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_1_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_2_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_3_PROPOGATION_DELAY=160, PCW_CRYSTAL_PERIPHERAL_FREQMHZ=33.333333, PCW_APU_PERIPHERAL_FREQMHZ=666.666666, PCW_DCI_PERIPHERAL_FREQMHZ=10.159, PCW_QSPI_PERIPHERAL_FREQMHZ=125, PCW_SMC_PERIPHERAL_FREQMHZ=100, PCW_USB0_PERIPHERAL_FREQMHZ=60, PCW_USB1_PERIPHERAL_FREQMHZ=60, PCW_SDIO_PERIPHERAL_FREQMHZ=25, PCW_UART_PERIPHERAL_FREQMHZ=100, PCW_SPI_PERIPHERAL_FREQMHZ=166.666666, PCW_CAN_PERIPHERAL_FREQMHZ=100, PCW_CAN0_PERIPHERAL_FREQMHZ=-1, PCW_CAN1_PERIPHERAL_FREQMHZ=-1, PCW_WDT_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC_PERIPHERAL_FREQMHZ=50, PCW_TTC0_CLK0_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC0_CLK1_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC0_CLK2_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC1_CLK0_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC1_CLK1_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC1_CLK2_PERIPHERAL_FREQMHZ=133.333333, PCW_PCAP_PERIPHERAL_FREQMHZ=200, PCW_TPIU_PERIPHERAL_FREQMHZ=200, PCW_FPGA0_PERIPHERAL_FREQMHZ=100, PCW_FPGA1_PERIPHERAL_FREQMHZ=10, PCW_FPGA2_PERIPHERAL_FREQMHZ=200, PCW_FPGA3_PERIPHERAL_FREQMHZ=125, PCW_OVERRIDE_BASIC_CLOCK=0, PCW_ARMPLL_CTRL_FBDIV=40, PCW_IOPLL_CTRL_FBDIV=30, PCW_DDRPLL_CTRL_FBDIV=32, PCW_CPU_CPU_PLL_FREQMHZ=1333.333, PCW_IO_IO_PLL_FREQMHZ=1000.000, PCW_DDR_DDR_PLL_FREQMHZ=1066.667, PCW_USE_M_AXI_GP0=1, PCW_USE_M_AXI_GP1=1, PCW_USE_S_AXI_GP0=1, PCW_USE_S_AXI_GP1=0, PCW_USE_S_AXI_ACP=0, PCW_USE_S_AXI_HP0=0, PCW_USE_S_AXI_HP1=0, PCW_USE_S_AXI_HP2=0, PCW_USE_S_AXI_HP3=0, PCW_M_AXI_GP0_FREQMHZ=100, PCW_M_AXI_GP1_FREQMHZ=62, PCW_S_AXI_GP0_FREQMHZ=100, PCW_S_AXI_GP1_FREQMHZ=10, PCW_S_AXI_ACP_FREQMHZ=10, PCW_S_AXI_HP0_FREQMHZ=10, PCW_S_AXI_HP1_FREQMHZ=10, PCW_S_AXI_HP2_FREQMHZ=10, PCW_S_AXI_HP3_FREQMHZ=10, PCW_USE_CROSS_TRIGGER=0, PCW_FTM_CTI_IN0=DISABLED, PCW_FTM_CTI_IN1=DISABLED, PCW_FTM_CTI_IN2=DISABLED, PCW_FTM_CTI_IN3=DISABLED, PCW_FTM_CTI_OUT0=DISABLED, PCW_FTM_CTI_OUT1=DISABLED, PCW_FTM_CTI_OUT2=DISABLED, PCW_FTM_CTI_OUT3=DISABLED, PCW_UART0_BAUD_RATE=115200, PCW_UART1_BAUD_RATE=115200, PCW_S_AXI_HP0_DATA_WIDTH=64, PCW_S_AXI_HP1_DATA_WIDTH=64, PCW_S_AXI_HP2_DATA_WIDTH=64, PCW_S_AXI_HP3_DATA_WIDTH=64, PCW_IRQ_F2P_MODE=DIRECT, PCW_PRESET_BANK0_VOLTAGE=LVCMOS 3.3V, PCW_PRESET_BANK1_VOLTAGE=LVCMOS 3.3V, PCW_UIPARAM_DDR_ENABLE=1, PCW_UIPARAM_DDR_ADV_ENABLE=0, PCW_UIPARAM_DDR_MEMORY_TYPE=DDR 3 (Low Voltage), PCW_UIPARAM_DDR_ECC=Disabled, PCW_UIPARAM_DDR_BUS_WIDTH=32 Bit, PCW_UIPARAM_DDR_BL=8, PCW_UIPARAM_DDR_HIGH_TEMP=Normal (0-85), PCW_UIPARAM_DDR_PARTNO=MT41K256M16 RE-125, PCW_UIPARAM_DDR_DRAM_WIDTH=16 Bits, PCW_UIPARAM_DDR_DEVICE_CAPACITY=4096 MBits, PCW_UIPARAM_DDR_SPEED_BIN=DDR3_1066F, PCW_UIPARAM_DDR_TRAIN_WRITE_LEVEL=1, PCW_UIPARAM_DDR_TRAIN_READ_GATE=1, PCW_UIPARAM_DDR_TRAIN_DATA_EYE=1, PCW_UIPARAM_DDR_CLOCK_STOP_EN=0, PCW_UIPARAM_DDR_USE_INTERNAL_VREF=0, PCW_DDR_PORT0_HPR_ENABLE=0, PCW_DDR_PORT1_HPR_ENABLE=0, PCW_DDR_PORT2_HPR_ENABLE=0, PCW_DDR_PORT3_HPR_ENABLE=0, PCW_DDR_HPRLPR_QUEUE_PARTITION=HPR(0)/LPR(32), PCW_DDR_LPR_TO_CRITICAL_PRIORITY_LEVEL=2, PCW_DDR_HPR_TO_CRITICAL_PRIORITY_LEVEL=15, PCW_DDR_WRITE_TO_CRITICAL_PRIORITY_LEVEL=2, PCW_NAND_PERIPHERAL_ENABLE=0, PCW_NAND_GRP_D8_ENABLE=0, PCW_NOR_PERIPHERAL_ENABLE=0, PCW_NOR_GRP_A25_ENABLE=0, PCW_NOR_GRP_CS0_ENABLE=0, PCW_NOR_GRP_SRAM_CS0_ENABLE=0, PCW_NOR_GRP_CS1_ENABLE=0, PCW_NOR_GRP_SRAM_CS1_ENABLE=0, PCW_NOR_GRP_SRAM_INT_ENABLE=0, PCW_QSPI_PERIPHERAL_ENABLE=1, PCW_QSPI_QSPI_IO=MIO 1 .. 6, PCW_QSPI_GRP_SINGLE_SS_ENABLE=0, PCW_QSPI_GRP_SS1_ENABLE=0, PCW_QSPI_GRP_IO1_ENABLE=1, PCW_QSPI_GRP_IO1_IO=MIO 0 9 .. 13, PCW_QSPI_GRP_FBCLK_ENABLE=1, PCW_QSPI_GRP_FBCLK_IO=MIO 8, PCW_QSPI_INTERNAL_HIGHADDRESS=0xFDFFFFFF, PCW_ENET0_PERIPHERAL_ENABLE=1, PCW_ENET0_ENET0_IO=MIO 16 .. 27, PCW_ENET0_GRP_MDIO_ENABLE=1, PCW_ENET0_RESET_ENABLE=0, PCW_ENET1_PERIPHERAL_ENABLE=0, PCW_ENET1_GRP_MDIO_ENABLE=0, PCW_ENET1_RESET_ENABLE=0, PCW_SD0_PERIPHERAL_ENABLE=1, PCW_SD0_SD0_IO=MIO 40 .. 45, PCW_SD0_GRP_CD_ENABLE=1, PCW_SD0_GRP_CD_IO=MIO 46, PCW_SD0_GRP_WP_ENABLE=1, PCW_SD0_GRP_WP_IO=MIO 47, PCW_SD0_GRP_POW_ENABLE=0, PCW_SD1_PERIPHERAL_ENABLE=0, PCW_SD1_GRP_CD_ENABLE=0, PCW_SD1_GRP_WP_ENABLE=0, PCW_SD1_GRP_POW_ENABLE=0, PCW_UART0_PERIPHERAL_ENABLE=0, PCW_UART0_GRP_FULL_ENABLE=0, PCW_UART1_PERIPHERAL_ENABLE=1, PCW_UART1_UART1_IO=MIO 48 .. 49, PCW_UART1_GRP_FULL_ENABLE=0, PCW_SPI0_PERIPHERAL_ENABLE=0, PCW_SPI0_GRP_SS0_ENABLE=0, PCW_SPI0_GRP_SS1_ENABLE=0, PCW_SPI0_GRP_SS2_ENABLE=0, PCW_SPI1_PERIPHERAL_ENABLE=0, PCW_SPI1_GRP_SS0_ENABLE=0, PCW_SPI1_GRP_SS1_ENABLE=0, PCW_SPI1_GRP_SS2_ENABLE=0, PCW_CAN0_PERIPHERAL_ENABLE=0, PCW_CAN0_GRP_CLK_ENABLE=0, PCW_CAN1_PERIPHERAL_ENABLE=0, PCW_CAN1_GRP_CLK_ENABLE=0, PCW_TRACE_PERIPHERAL_ENABLE=0, PCW_TRACE_GRP_2BIT_ENABLE=0, PCW_TRACE_GRP_4BIT_ENABLE=0, PCW_TRACE_GRP_8BIT_ENABLE=0, PCW_TRACE_GRP_16BIT_ENABLE=0, PCW_TRACE_GRP_32BIT_ENABLE=0, PCW_WDT_PERIPHERAL_ENABLE=0, PCW_TTC0_PERIPHERAL_ENABLE=1, PCW_TTC0_TTC0_IO=EMIO, PCW_TTC1_PERIPHERAL_ENABLE=0, PCW_PJTAG_PERIPHERAL_ENABLE=0, PCW_USB0_PERIPHERAL_ENABLE=0, PCW_USB0_RESET_ENABLE=0, PCW_USB1_PERIPHERAL_ENABLE=0, PCW_USB1_RESET_ENABLE=0, PCW_I2C0_PERIPHERAL_ENABLE=1, PCW_I2C0_I2C0_IO=MIO 30 .. 31, PCW_I2C0_GRP_INT_ENABLE=0, PCW_I2C0_RESET_ENABLE=0, PCW_I2C1_PERIPHERAL_ENABLE=0, PCW_I2C1_GRP_INT_ENABLE=0, PCW_I2C1_RESET_ENABLE=0, PCW_GPIO_PERIPHERAL_ENABLE=0, PCW_GPIO_MIO_GPIO_ENABLE=1, PCW_GPIO_MIO_GPIO_IO=MIO, PCW_GPIO_EMIO_GPIO_ENABLE=0, PCW_APU_CLK_RATIO_ENABLE=6:2:1, PCW_ENET0_PERIPHERAL_FREQMHZ=1000 Mbps, PCW_ENET1_PERIPHERAL_FREQMHZ=1000 Mbps, PCW_CPU_PERIPHERAL_CLKSRC=ARM PLL, PCW_DDR_PERIPHERAL_CLKSRC=DDR PLL, PCW_SMC_PERIPHERAL_CLKSRC=IO PLL, PCW_QSPI_PERIPHERAL_CLKSRC=IO PLL, PCW_SDIO_PERIPHERAL_CLKSRC=IO PLL, PCW_UART_PERIPHERAL_CLKSRC=IO PLL, PCW_SPI_PERIPHERAL_CLKSRC=IO PLL, PCW_CAN_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK0_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK1_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK2_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK3_PERIPHERAL_CLKSRC=IO PLL, PCW_ENET0_PERIPHERAL_CLKSRC=IO PLL, PCW_ENET1_PERIPHERAL_CLKSRC=External, PCW_CAN0_PERIPHERAL_CLKSRC=External, PCW_CAN1_PERIPHERAL_CLKSRC=External, PCW_TPIU_PERIPHERAL_CLKSRC=External, PCW_TTC0_CLK0_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC0_CLK1_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC0_CLK2_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC1_CLK0_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC1_CLK1_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC1_CLK2_PERIPHERAL_CLKSRC=CPU_1X, PCW_WDT_PERIPHERAL_CLKSRC=CPU_1X, PCW_DCI_PERIPHERAL_CLKSRC=DDR PLL, PCW_PCAP_PERIPHERAL_CLKSRC=IO PLL, PCW_USB_RESET_POLARITY=Active Low, PCW_ENET_RESET_POLARITY=Active Low, PCW_I2C_RESET_POLARITY=Active Low, PCW_FPGA_FCLK0_ENABLE=1, PCW_FPGA_FCLK1_ENABLE=1, PCW_FPGA_FCLK2_ENABLE=0, PCW_FPGA_FCLK3_ENABLE=0, PCW_NOR_SRAM_CS0_T_TR=1, PCW_NOR_SRAM_CS0_T_PC=1, PCW_NOR_SRAM_CS0_T_WP=1, PCW_NOR_SRAM_CS0_T_CEOE=1, PCW_NOR_SRAM_CS0_T_WC=11, PCW_NOR_SRAM_CS0_T_RC=11, PCW_NOR_SRAM_CS0_WE_TIME=0, PCW_NOR_SRAM_CS1_T_TR=1, PCW_NOR_SRAM_CS1_T_PC=1, PCW_NOR_SRAM_CS1_T_WP=1, PCW_NOR_SRAM_CS1_T_CEOE=1, PCW_NOR_SRAM_CS1_T_WC=11, PCW_NOR_SRAM_CS1_T_RC=11, PCW_NOR_SRAM_CS1_WE_TIME=0, PCW_NOR_CS0_T_TR=1, PCW_NOR_CS0_T_PC=1, PCW_NOR_CS0_T_WP=1, PCW_NOR_CS0_T_CEOE=1, PCW_NOR_CS0_T_WC=11, PCW_NOR_CS0_T_RC=11, PCW_NOR_CS0_WE_TIME=0, PCW_NOR_CS1_T_TR=1, PCW_NOR_CS1_T_PC=1, PCW_NOR_CS1_T_WP=1, PCW_NOR_CS1_T_CEOE=1, PCW_NOR_CS1_T_WC=11, PCW_NOR_CS1_T_RC=11, PCW_NOR_CS1_WE_TIME=0, PCW_NAND_CYCLES_T_RR=1, PCW_NAND_CYCLES_T_AR=1, PCW_NAND_CYCLES_T_CLR=1, PCW_NAND_CYCLES_T_WP=1, PCW_NAND_CYCLES_T_REA=1, PCW_NAND_CYCLES_T_WC=11, PCW_NAND_CYCLES_T_RC=11 }" *) +(*POWER= "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={10} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>" *) +(* CORE_GENERATION_INFO = "processing_system7_v5.5 ,processing_system7_v5.5_user_configuration,{ PCW_UIPARAM_DDR_FREQ_MHZ=533.333333, PCW_UIPARAM_DDR_BANK_ADDR_COUNT=3, PCW_UIPARAM_DDR_ROW_ADDR_COUNT=15, PCW_UIPARAM_DDR_COL_ADDR_COUNT=10, PCW_UIPARAM_DDR_CL=7, PCW_UIPARAM_DDR_CWL=6, PCW_UIPARAM_DDR_T_RCD=7, PCW_UIPARAM_DDR_T_RP=7, PCW_UIPARAM_DDR_T_RC=48.75, PCW_UIPARAM_DDR_T_RAS_MIN=35.0, PCW_UIPARAM_DDR_T_FAW=40.0, PCW_UIPARAM_DDR_AL=0, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0=0.069, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1=0.069, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2=0.186, PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3=0.185, PCW_UIPARAM_DDR_BOARD_DELAY0=0.310, PCW_UIPARAM_DDR_BOARD_DELAY1=0.310, PCW_UIPARAM_DDR_BOARD_DELAY2=0.368, PCW_UIPARAM_DDR_BOARD_DELAY3=0.368, PCW_UIPARAM_DDR_DQS_0_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_1_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_2_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_3_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_0_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_1_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_2_LENGTH_MM=0, PCW_UIPARAM_DDR_DQ_3_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_0_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_1_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_2_LENGTH_MM=0, PCW_UIPARAM_DDR_CLOCK_3_LENGTH_MM=0, PCW_UIPARAM_DDR_DQS_0_PACKAGE_LENGTH=119.765, PCW_UIPARAM_DDR_DQS_1_PACKAGE_LENGTH=90.906, PCW_UIPARAM_DDR_DQS_2_PACKAGE_LENGTH=106.248, PCW_UIPARAM_DDR_DQS_3_PACKAGE_LENGTH=113.2035, PCW_UIPARAM_DDR_DQ_0_PACKAGE_LENGTH=120.1555, PCW_UIPARAM_DDR_DQ_1_PACKAGE_LENGTH=97.811, PCW_UIPARAM_DDR_DQ_2_PACKAGE_LENGTH=102.5285, PCW_UIPARAM_DDR_DQ_3_PACKAGE_LENGTH=113.5445, PCW_UIPARAM_DDR_CLOCK_0_PACKAGE_LENGTH=97.8165, PCW_UIPARAM_DDR_CLOCK_1_PACKAGE_LENGTH=97.8165, PCW_UIPARAM_DDR_CLOCK_2_PACKAGE_LENGTH=97.8165, PCW_UIPARAM_DDR_CLOCK_3_PACKAGE_LENGTH=97.8165, PCW_UIPARAM_DDR_DQS_0_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQS_1_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQS_2_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQS_3_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_0_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_1_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_2_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_DQ_3_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_0_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_1_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_2_PROPOGATION_DELAY=160, PCW_UIPARAM_DDR_CLOCK_3_PROPOGATION_DELAY=160, PCW_CRYSTAL_PERIPHERAL_FREQMHZ=33.333333, PCW_APU_PERIPHERAL_FREQMHZ=666.666666, PCW_DCI_PERIPHERAL_FREQMHZ=10.159, PCW_QSPI_PERIPHERAL_FREQMHZ=125, PCW_SMC_PERIPHERAL_FREQMHZ=100, PCW_USB0_PERIPHERAL_FREQMHZ=60, PCW_USB1_PERIPHERAL_FREQMHZ=60, PCW_SDIO_PERIPHERAL_FREQMHZ=25, PCW_UART_PERIPHERAL_FREQMHZ=100, PCW_SPI_PERIPHERAL_FREQMHZ=166.666666, PCW_CAN_PERIPHERAL_FREQMHZ=100, PCW_CAN0_PERIPHERAL_FREQMHZ=-1, PCW_CAN1_PERIPHERAL_FREQMHZ=-1, PCW_WDT_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC_PERIPHERAL_FREQMHZ=50, PCW_TTC0_CLK0_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC0_CLK1_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC0_CLK2_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC1_CLK0_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC1_CLK1_PERIPHERAL_FREQMHZ=133.333333, PCW_TTC1_CLK2_PERIPHERAL_FREQMHZ=133.333333, PCW_PCAP_PERIPHERAL_FREQMHZ=200, PCW_TPIU_PERIPHERAL_FREQMHZ=200, PCW_FPGA0_PERIPHERAL_FREQMHZ=100, PCW_FPGA1_PERIPHERAL_FREQMHZ=10, PCW_FPGA2_PERIPHERAL_FREQMHZ=200, PCW_FPGA3_PERIPHERAL_FREQMHZ=125, PCW_OVERRIDE_BASIC_CLOCK=0, PCW_ARMPLL_CTRL_FBDIV=40, PCW_IOPLL_CTRL_FBDIV=30, PCW_DDRPLL_CTRL_FBDIV=32, PCW_CPU_CPU_PLL_FREQMHZ=1333.333, PCW_IO_IO_PLL_FREQMHZ=1000.000, PCW_DDR_DDR_PLL_FREQMHZ=1066.667, PCW_USE_M_AXI_GP0=1, PCW_USE_M_AXI_GP1=1, PCW_USE_S_AXI_GP0=1, PCW_USE_S_AXI_GP1=0, PCW_USE_S_AXI_ACP=0, PCW_USE_S_AXI_HP0=0, PCW_USE_S_AXI_HP1=0, PCW_USE_S_AXI_HP2=0, PCW_USE_S_AXI_HP3=0, PCW_M_AXI_GP0_FREQMHZ=100, PCW_M_AXI_GP1_FREQMHZ=62, PCW_S_AXI_GP0_FREQMHZ=100, PCW_S_AXI_GP1_FREQMHZ=10, PCW_S_AXI_ACP_FREQMHZ=10, PCW_S_AXI_HP0_FREQMHZ=10, PCW_S_AXI_HP1_FREQMHZ=10, PCW_S_AXI_HP2_FREQMHZ=10, PCW_S_AXI_HP3_FREQMHZ=10, PCW_USE_CROSS_TRIGGER=0, PCW_FTM_CTI_IN0=DISABLED, PCW_FTM_CTI_IN1=DISABLED, PCW_FTM_CTI_IN2=DISABLED, PCW_FTM_CTI_IN3=DISABLED, PCW_FTM_CTI_OUT0=DISABLED, PCW_FTM_CTI_OUT1=DISABLED, PCW_FTM_CTI_OUT2=DISABLED, PCW_FTM_CTI_OUT3=DISABLED, PCW_UART0_BAUD_RATE=115200, PCW_UART1_BAUD_RATE=115200, PCW_S_AXI_HP0_DATA_WIDTH=64, PCW_S_AXI_HP1_DATA_WIDTH=64, PCW_S_AXI_HP2_DATA_WIDTH=64, PCW_S_AXI_HP3_DATA_WIDTH=64, PCW_IRQ_F2P_MODE=DIRECT, PCW_PRESET_BANK0_VOLTAGE=LVCMOS 3.3V, PCW_PRESET_BANK1_VOLTAGE=LVCMOS 1.8V, PCW_UIPARAM_DDR_ENABLE=1, PCW_UIPARAM_DDR_ADV_ENABLE=0, PCW_UIPARAM_DDR_MEMORY_TYPE=DDR 3 (Low Voltage), PCW_UIPARAM_DDR_ECC=Disabled, PCW_UIPARAM_DDR_BUS_WIDTH=32 Bit, PCW_UIPARAM_DDR_BL=8, PCW_UIPARAM_DDR_HIGH_TEMP=Normal (0-85), PCW_UIPARAM_DDR_PARTNO=MT41K256M16 RE-125, PCW_UIPARAM_DDR_DRAM_WIDTH=16 Bits, PCW_UIPARAM_DDR_DEVICE_CAPACITY=4096 MBits, PCW_UIPARAM_DDR_SPEED_BIN=DDR3_1066F, PCW_UIPARAM_DDR_TRAIN_WRITE_LEVEL=1, PCW_UIPARAM_DDR_TRAIN_READ_GATE=1, PCW_UIPARAM_DDR_TRAIN_DATA_EYE=1, PCW_UIPARAM_DDR_CLOCK_STOP_EN=0, PCW_UIPARAM_DDR_USE_INTERNAL_VREF=0, PCW_DDR_PORT0_HPR_ENABLE=0, PCW_DDR_PORT1_HPR_ENABLE=0, PCW_DDR_PORT2_HPR_ENABLE=0, PCW_DDR_PORT3_HPR_ENABLE=0, PCW_DDR_HPRLPR_QUEUE_PARTITION=HPR(0)/LPR(32), PCW_DDR_LPR_TO_CRITICAL_PRIORITY_LEVEL=2, PCW_DDR_HPR_TO_CRITICAL_PRIORITY_LEVEL=15, PCW_DDR_WRITE_TO_CRITICAL_PRIORITY_LEVEL=2, PCW_NAND_PERIPHERAL_ENABLE=0, PCW_NAND_GRP_D8_ENABLE=0, PCW_NOR_PERIPHERAL_ENABLE=0, PCW_NOR_GRP_A25_ENABLE=0, PCW_NOR_GRP_CS0_ENABLE=0, PCW_NOR_GRP_SRAM_CS0_ENABLE=0, PCW_NOR_GRP_CS1_ENABLE=0, PCW_NOR_GRP_SRAM_CS1_ENABLE=0, PCW_NOR_GRP_SRAM_INT_ENABLE=0, PCW_QSPI_PERIPHERAL_ENABLE=1, PCW_QSPI_QSPI_IO=MIO 1 .. 6, PCW_QSPI_GRP_SINGLE_SS_ENABLE=0, PCW_QSPI_GRP_SS1_ENABLE=0, PCW_QSPI_GRP_IO1_ENABLE=1, PCW_QSPI_GRP_IO1_IO=MIO 0 9 .. 13, PCW_QSPI_GRP_FBCLK_ENABLE=1, PCW_QSPI_GRP_FBCLK_IO=MIO 8, PCW_QSPI_INTERNAL_HIGHADDRESS=0xFDFFFFFF, PCW_ENET0_PERIPHERAL_ENABLE=1, PCW_ENET0_ENET0_IO=MIO 16 .. 27, PCW_ENET0_GRP_MDIO_ENABLE=1, PCW_ENET0_RESET_ENABLE=0, PCW_ENET1_PERIPHERAL_ENABLE=0, PCW_ENET1_GRP_MDIO_ENABLE=0, PCW_ENET1_RESET_ENABLE=0, PCW_SD0_PERIPHERAL_ENABLE=1, PCW_SD0_SD0_IO=MIO 40 .. 45, PCW_SD0_GRP_CD_ENABLE=1, PCW_SD0_GRP_CD_IO=MIO 46, PCW_SD0_GRP_WP_ENABLE=1, PCW_SD0_GRP_WP_IO=MIO 47, PCW_SD0_GRP_POW_ENABLE=0, PCW_SD1_PERIPHERAL_ENABLE=0, PCW_SD1_GRP_CD_ENABLE=0, PCW_SD1_GRP_WP_ENABLE=0, PCW_SD1_GRP_POW_ENABLE=0, PCW_UART0_PERIPHERAL_ENABLE=0, PCW_UART0_GRP_FULL_ENABLE=0, PCW_UART1_PERIPHERAL_ENABLE=1, PCW_UART1_UART1_IO=MIO 48 .. 49, PCW_UART1_GRP_FULL_ENABLE=0, PCW_SPI0_PERIPHERAL_ENABLE=0, PCW_SPI0_GRP_SS0_ENABLE=0, PCW_SPI0_GRP_SS1_ENABLE=0, PCW_SPI0_GRP_SS2_ENABLE=0, PCW_SPI1_PERIPHERAL_ENABLE=0, PCW_SPI1_GRP_SS0_ENABLE=0, PCW_SPI1_GRP_SS1_ENABLE=0, PCW_SPI1_GRP_SS2_ENABLE=0, PCW_CAN0_PERIPHERAL_ENABLE=0, PCW_CAN0_GRP_CLK_ENABLE=0, PCW_CAN1_PERIPHERAL_ENABLE=0, PCW_CAN1_GRP_CLK_ENABLE=0, PCW_TRACE_PERIPHERAL_ENABLE=0, PCW_TRACE_GRP_2BIT_ENABLE=0, PCW_TRACE_GRP_4BIT_ENABLE=0, PCW_TRACE_GRP_8BIT_ENABLE=0, PCW_TRACE_GRP_16BIT_ENABLE=0, PCW_TRACE_GRP_32BIT_ENABLE=0, PCW_WDT_PERIPHERAL_ENABLE=0, PCW_TTC0_PERIPHERAL_ENABLE=1, PCW_TTC0_TTC0_IO=EMIO, PCW_TTC1_PERIPHERAL_ENABLE=0, PCW_PJTAG_PERIPHERAL_ENABLE=0, PCW_USB0_PERIPHERAL_ENABLE=0, PCW_USB0_RESET_ENABLE=0, PCW_USB1_PERIPHERAL_ENABLE=0, PCW_USB1_RESET_ENABLE=0, PCW_I2C0_PERIPHERAL_ENABLE=1, PCW_I2C0_I2C0_IO=MIO 38 .. 39, PCW_I2C0_GRP_INT_ENABLE=0, PCW_I2C0_RESET_ENABLE=0, PCW_I2C1_PERIPHERAL_ENABLE=1, PCW_I2C1_I2C1_IO=MIO 28 .. 29, PCW_I2C1_GRP_INT_ENABLE=0, PCW_I2C1_RESET_ENABLE=0, PCW_GPIO_PERIPHERAL_ENABLE=0, PCW_GPIO_MIO_GPIO_ENABLE=1, PCW_GPIO_MIO_GPIO_IO=MIO, PCW_GPIO_EMIO_GPIO_ENABLE=0, PCW_APU_CLK_RATIO_ENABLE=6:2:1, PCW_ENET0_PERIPHERAL_FREQMHZ=1000 Mbps, PCW_ENET1_PERIPHERAL_FREQMHZ=1000 Mbps, PCW_CPU_PERIPHERAL_CLKSRC=ARM PLL, PCW_DDR_PERIPHERAL_CLKSRC=DDR PLL, PCW_SMC_PERIPHERAL_CLKSRC=IO PLL, PCW_QSPI_PERIPHERAL_CLKSRC=IO PLL, PCW_SDIO_PERIPHERAL_CLKSRC=IO PLL, PCW_UART_PERIPHERAL_CLKSRC=IO PLL, PCW_SPI_PERIPHERAL_CLKSRC=IO PLL, PCW_CAN_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK0_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK1_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK2_PERIPHERAL_CLKSRC=IO PLL, PCW_FCLK3_PERIPHERAL_CLKSRC=IO PLL, PCW_ENET0_PERIPHERAL_CLKSRC=IO PLL, PCW_ENET1_PERIPHERAL_CLKSRC=External, PCW_CAN0_PERIPHERAL_CLKSRC=External, PCW_CAN1_PERIPHERAL_CLKSRC=External, PCW_TPIU_PERIPHERAL_CLKSRC=External, PCW_TTC0_CLK0_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC0_CLK1_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC0_CLK2_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC1_CLK0_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC1_CLK1_PERIPHERAL_CLKSRC=CPU_1X, PCW_TTC1_CLK2_PERIPHERAL_CLKSRC=CPU_1X, PCW_WDT_PERIPHERAL_CLKSRC=CPU_1X, PCW_DCI_PERIPHERAL_CLKSRC=DDR PLL, PCW_PCAP_PERIPHERAL_CLKSRC=IO PLL, PCW_USB_RESET_POLARITY=Active Low, PCW_ENET_RESET_POLARITY=Active Low, PCW_I2C_RESET_POLARITY=Active Low, PCW_FPGA_FCLK0_ENABLE=1, PCW_FPGA_FCLK1_ENABLE=1, PCW_FPGA_FCLK2_ENABLE=0, PCW_FPGA_FCLK3_ENABLE=0, PCW_NOR_SRAM_CS0_T_TR=1, PCW_NOR_SRAM_CS0_T_PC=1, PCW_NOR_SRAM_CS0_T_WP=1, PCW_NOR_SRAM_CS0_T_CEOE=1, PCW_NOR_SRAM_CS0_T_WC=11, PCW_NOR_SRAM_CS0_T_RC=11, PCW_NOR_SRAM_CS0_WE_TIME=0, PCW_NOR_SRAM_CS1_T_TR=1, PCW_NOR_SRAM_CS1_T_PC=1, PCW_NOR_SRAM_CS1_T_WP=1, PCW_NOR_SRAM_CS1_T_CEOE=1, PCW_NOR_SRAM_CS1_T_WC=11, PCW_NOR_SRAM_CS1_T_RC=11, PCW_NOR_SRAM_CS1_WE_TIME=0, PCW_NOR_CS0_T_TR=1, PCW_NOR_CS0_T_PC=1, PCW_NOR_CS0_T_WP=1, PCW_NOR_CS0_T_CEOE=1, PCW_NOR_CS0_T_WC=11, PCW_NOR_CS0_T_RC=11, PCW_NOR_CS0_WE_TIME=0, PCW_NOR_CS1_T_TR=1, PCW_NOR_CS1_T_PC=1, PCW_NOR_CS1_T_WP=1, PCW_NOR_CS1_T_CEOE=1, PCW_NOR_CS1_T_WC=11, PCW_NOR_CS1_T_RC=11, PCW_NOR_CS1_WE_TIME=0, PCW_NAND_CYCLES_T_RR=1, PCW_NAND_CYCLES_T_AR=1, PCW_NAND_CYCLES_T_CLR=1, PCW_NAND_CYCLES_T_WP=1, PCW_NAND_CYCLES_T_REA=1, PCW_NAND_CYCLES_T_WC=11, PCW_NAND_CYCLES_T_RC=11 }" *) (* HW_HANDOFF = "system_design_processing_system7_0_0.hwdef" *) module processing_system7_v5_5_processing_system7 diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/hdl/verilog/system_design_processing_system7_0_0.hwdef b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/hdl/verilog/system_design_processing_system7_0_0.hwdef index db0ec03e87616831a0b0fba0d30ef344903feef0..0c6fc21f9a2b868bf3b031da5e1de00f580dea01 100644 Binary files a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/hdl/verilog/system_design_processing_system7_0_0.hwdef and b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/hdl/verilog/system_design_processing_system7_0_0.hwdef differ diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.c b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.c index ec1c7fcf8c6639f2e8efd31193e4028f53ab0b48..524285f7bb7691648de776fd1608eec76ca730e4 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.c +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.c @@ -2501,9 +2501,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000740[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000740[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000740[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2511,7 +2511,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000740[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000744[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2530,9 +2530,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000744[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000744[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000744[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2540,7 +2540,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000744[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000748[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2559,9 +2559,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000748[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000748[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000748[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2569,7 +2569,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000748[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF800074C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2588,9 +2588,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF800074C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800074C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800074C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2598,7 +2598,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800074C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000750[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2617,9 +2617,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000750[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000750[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000750[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2627,7 +2627,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000750[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000754[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2646,9 +2646,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000754[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000754[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000754[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2656,7 +2656,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000754[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000758[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2675,9 +2675,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000758[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000758[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000758[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2685,7 +2685,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000758[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800075C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2704,9 +2704,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF800075C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800075C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800075C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2714,7 +2714,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800075C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000760[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2733,9 +2733,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000760[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000760[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000760[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2743,7 +2743,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000760[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000764[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2762,9 +2762,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000764[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000764[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000764[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2772,7 +2772,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000764[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000768[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2791,9 +2791,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000768[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000768[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000768[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2801,7 +2801,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000768[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800076C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2820,9 +2820,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF800076C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800076C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800076C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2830,7 +2830,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800076C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000770[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2843,15 +2843,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000770[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000770[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000770[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000770[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000770[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000770[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2859,7 +2859,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000770[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000774[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2872,15 +2872,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000774[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000774[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000774[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000774[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000774[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000774[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2888,7 +2888,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000774[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000778[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2901,15 +2901,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000778[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF8000778[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF8000778[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000778[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000778[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2917,7 +2917,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000778[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF800077C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2930,15 +2930,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800077C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF800077C[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF800077C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800077C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800077C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2946,7 +2946,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800077C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000780[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2965,9 +2965,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000780[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000780[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000780[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2975,7 +2975,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000780[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000784[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2994,9 +2994,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000784[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000784[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000784[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3004,7 +3004,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000784[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000788[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3023,9 +3023,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000788[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000788[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000788[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3033,7 +3033,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000788[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF800078C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3052,9 +3052,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF800078C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800078C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800078C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3062,7 +3062,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800078C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000790[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3081,9 +3081,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000790[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000790[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000790[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3091,7 +3091,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000790[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000794[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3110,9 +3110,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000794[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000794[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000794[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3120,7 +3120,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000794[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000798[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3133,15 +3133,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000798[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000798[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000798[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000798[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000798[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000798[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3149,7 +3149,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000798[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF800079C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3162,15 +3162,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800079C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF800079C[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800079C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF800079C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800079C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800079C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3178,7 +3178,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800079C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3197,9 +3197,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007A0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3207,7 +3207,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007A0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3226,9 +3226,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007A4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3236,7 +3236,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007A4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3255,9 +3255,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007A8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A8[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3265,7 +3265,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007A8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007AC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3284,9 +3284,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007AC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007AC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007AC[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3294,7 +3294,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007AC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3313,9 +3313,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007B0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3323,7 +3323,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007B0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3342,9 +3342,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007B4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3352,16 +3352,16 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007B4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007B8[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007B8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007B8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3369,16 +3369,16 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007B8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007BC[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007BC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007BC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007BC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3386,7 +3386,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007BC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3405,9 +3405,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007C0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3415,7 +3415,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007C0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000006E0U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000002E0U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007C4[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -3434,9 +3434,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007C4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3444,7 +3444,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007C4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000006E1U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000002E1U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3463,9 +3463,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007C8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007C8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3473,7 +3473,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007C8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007CC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3492,9 +3492,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007CC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007CC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007CC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3502,7 +3502,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007CC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3521,9 +3521,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007D0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007D0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3531,7 +3531,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007D0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3550,9 +3550,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007D4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007D4[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3560,7 +3560,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007D4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001680U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), // .. SDIO0_WP_SEL = 47 // .. ==> 0XF8000830[5:0] = 0x0000002FU // .. ==> MASK : 0x0000003FU VAL : 0x0000002FU @@ -6747,9 +6747,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000740[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000740[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000740[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6757,7 +6757,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000740[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000744[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6776,9 +6776,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000744[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000744[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000744[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6786,7 +6786,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000744[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000748[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6805,9 +6805,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000748[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000748[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000748[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6815,7 +6815,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000748[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF800074C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6834,9 +6834,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF800074C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800074C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800074C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6844,7 +6844,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800074C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000750[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6863,9 +6863,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000750[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000750[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000750[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6873,7 +6873,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000750[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000754[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6892,9 +6892,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000754[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000754[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000754[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6902,7 +6902,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000754[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000758[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -6921,9 +6921,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000758[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000758[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000758[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6931,7 +6931,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000758[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800075C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -6950,9 +6950,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF800075C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800075C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800075C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6960,7 +6960,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800075C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000760[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -6979,9 +6979,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000760[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000760[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000760[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6989,7 +6989,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000760[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000764[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -7008,9 +7008,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000764[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000764[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000764[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7018,7 +7018,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000764[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000768[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -7037,9 +7037,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000768[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000768[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000768[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7047,7 +7047,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000768[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800076C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -7066,9 +7066,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF800076C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800076C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800076C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7076,7 +7076,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800076C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000770[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7089,15 +7089,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000770[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000770[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000770[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000770[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000770[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000770[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7105,7 +7105,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000770[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000774[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7118,15 +7118,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000774[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000774[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000774[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000774[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000774[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000774[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7134,7 +7134,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000774[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000778[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7147,15 +7147,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000778[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF8000778[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF8000778[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000778[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000778[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7163,7 +7163,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000778[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF800077C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7176,15 +7176,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800077C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF800077C[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF800077C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800077C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800077C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7192,7 +7192,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800077C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000780[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7211,9 +7211,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000780[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000780[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000780[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7221,7 +7221,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000780[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000784[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7240,9 +7240,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000784[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000784[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000784[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7250,7 +7250,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000784[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000788[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7269,9 +7269,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000788[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000788[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000788[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7279,7 +7279,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000788[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF800078C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7298,9 +7298,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF800078C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800078C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800078C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7308,7 +7308,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800078C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000790[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7327,9 +7327,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000790[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000790[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000790[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7337,7 +7337,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000790[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000794[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7356,9 +7356,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000794[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000794[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000794[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7366,7 +7366,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000794[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000798[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7379,15 +7379,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000798[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000798[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000798[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000798[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000798[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000798[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7395,7 +7395,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000798[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF800079C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7408,15 +7408,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800079C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF800079C[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800079C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF800079C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800079C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800079C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7424,7 +7424,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800079C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7443,9 +7443,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007A0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7453,7 +7453,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007A0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7472,9 +7472,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007A4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7482,7 +7482,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007A4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7501,9 +7501,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007A8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A8[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7511,7 +7511,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007A8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007AC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7530,9 +7530,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007AC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007AC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007AC[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7540,7 +7540,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007AC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7559,9 +7559,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007B0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7569,7 +7569,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007B0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7588,9 +7588,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007B4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7598,16 +7598,16 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007B4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007B8[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007B8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007B8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7615,16 +7615,16 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007B8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007BC[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007BC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007BC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007BC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7632,7 +7632,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007BC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7651,9 +7651,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007C0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7661,7 +7661,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007C0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000006E0U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000002E0U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007C4[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -7680,9 +7680,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007C4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7690,7 +7690,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007C4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000006E1U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000002E1U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7709,9 +7709,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007C8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007C8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7719,7 +7719,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007C8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007CC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7738,9 +7738,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007CC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007CC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007CC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7748,7 +7748,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007CC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7767,9 +7767,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007D0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007D0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7777,7 +7777,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007D0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7796,9 +7796,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007D4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007D4[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7806,7 +7806,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007D4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001680U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), // .. SDIO0_WP_SEL = 47 // .. ==> 0XF8000830[5:0] = 0x0000002FU // .. ==> MASK : 0x0000003FU VAL : 0x0000002FU @@ -10924,9 +10924,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000740[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000740[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000740[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -10934,7 +10934,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000740[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000744[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -10953,9 +10953,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000744[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000744[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000744[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -10963,7 +10963,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000744[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000748[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -10982,9 +10982,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000748[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000748[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000748[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -10992,7 +10992,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000748[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF800074C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11011,9 +11011,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF800074C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800074C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800074C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11021,7 +11021,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800074C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000750[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11040,9 +11040,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000750[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000750[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000750[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11050,7 +11050,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000750[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000754[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11069,9 +11069,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000754[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000754[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000754[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11079,7 +11079,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000754[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000758[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11098,9 +11098,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000758[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000758[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000758[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11108,7 +11108,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000758[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800075C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11127,9 +11127,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF800075C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800075C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800075C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11137,7 +11137,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800075C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000760[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11156,9 +11156,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000760[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000760[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000760[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11166,7 +11166,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000760[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000764[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11185,9 +11185,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000764[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000764[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000764[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11195,7 +11195,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000764[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000768[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11214,9 +11214,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000768[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000768[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000768[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11224,7 +11224,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000768[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800076C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11243,9 +11243,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF800076C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800076C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800076C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11253,7 +11253,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800076C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000770[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11266,15 +11266,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000770[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000770[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000770[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000770[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000770[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000770[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11282,7 +11282,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000770[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000774[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11295,15 +11295,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000774[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000774[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000774[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000774[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000774[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000774[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11311,7 +11311,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000774[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000778[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11324,15 +11324,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000778[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF8000778[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF8000778[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000778[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000778[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11340,7 +11340,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000778[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF800077C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11353,15 +11353,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800077C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF800077C[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF800077C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800077C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800077C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11369,7 +11369,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800077C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000780[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11388,9 +11388,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000780[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000780[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000780[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11398,7 +11398,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000780[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000784[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11417,9 +11417,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000784[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000784[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000784[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11427,7 +11427,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000784[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000788[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11446,9 +11446,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000788[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000788[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000788[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11456,7 +11456,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000788[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF800078C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11475,9 +11475,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF800078C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800078C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800078C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11485,7 +11485,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800078C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000790[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11504,9 +11504,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000790[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000790[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000790[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11514,7 +11514,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000790[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000794[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11533,9 +11533,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000794[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000794[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000794[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11543,7 +11543,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000794[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000798[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11556,15 +11556,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000798[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000798[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000798[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000798[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000798[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000798[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11572,7 +11572,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000798[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF800079C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11585,15 +11585,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800079C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF800079C[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800079C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF800079C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800079C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800079C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11601,7 +11601,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800079C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11620,9 +11620,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007A0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11630,7 +11630,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007A0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11649,9 +11649,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007A4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11659,7 +11659,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007A4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11678,9 +11678,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007A8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A8[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11688,7 +11688,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007A8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007AC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11707,9 +11707,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007AC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007AC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007AC[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11717,7 +11717,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007AC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11736,9 +11736,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007B0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11746,7 +11746,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007B0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11765,9 +11765,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007B4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11775,16 +11775,16 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007B4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007B8[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007B8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007B8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11792,16 +11792,16 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007B8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007BC[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007BC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007BC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007BC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11809,7 +11809,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007BC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11828,9 +11828,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007C0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11838,7 +11838,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007C0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000006E0U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000002E0U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007C4[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11857,9 +11857,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007C4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11867,7 +11867,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007C4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000006E1U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000002E1U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11886,9 +11886,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007C8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007C8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11896,7 +11896,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007C8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007CC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11915,9 +11915,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007CC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007CC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007CC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11925,7 +11925,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007CC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11944,9 +11944,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007D0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007D0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11954,7 +11954,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007D0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11973,9 +11973,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007D4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007D4[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11983,7 +11983,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007D4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001680U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), // .. SDIO0_WP_SEL = 47 // .. ==> 0XF8000830[5:0] = 0x0000002FU // .. ==> MASK : 0x0000003FU VAL : 0x0000002FU diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.html b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.html index ab3fbf7fa2a9fd2f7a310505c68a63e785b78c77..c75eb71dddfde5dbfcc8a6ddcf6362c294a7bbb8 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.html +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.html @@ -527,7 +527,7 @@ Enet 0 tx_clk </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -550,7 +550,7 @@ Enet 0 txd[0] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -573,7 +573,7 @@ Enet 0 txd[1] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -596,7 +596,7 @@ Enet 0 txd[2] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -619,7 +619,7 @@ Enet 0 txd[3] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -642,7 +642,7 @@ Enet 0 tx_ctl </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -665,7 +665,7 @@ Enet 0 rx_clk </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -688,7 +688,7 @@ Enet 0 rxd[0] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -711,7 +711,7 @@ Enet 0 rxd[1] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -734,7 +734,7 @@ Enet 0 rxd[2] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -757,7 +757,7 @@ Enet 0 rxd[3] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -780,7 +780,7 @@ Enet 0 rx_ctl </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -797,13 +797,13 @@ in <B>MIO 28</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -GPIO +I2C 1 </TD> <TD width=10% BGCOLOR=#FBF5EF> -gpio[28] +scl </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -820,13 +820,13 @@ inout <B>MIO 29</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -GPIO +I2C 1 </TD> <TD width=10% BGCOLOR=#FBF5EF> -gpio[29] +sda </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -843,13 +843,13 @@ inout <B>MIO 30</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -I2C 0 +GPIO </TD> <TD width=10% BGCOLOR=#FBF5EF> -scl +gpio[30] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -866,13 +866,13 @@ inout <B>MIO 31</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -I2C 0 +GPIO </TD> <TD width=10% BGCOLOR=#FBF5EF> -sda +gpio[31] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> fast @@ -895,7 +895,7 @@ GPIO gpio[32] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -918,7 +918,7 @@ GPIO gpio[33] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -941,7 +941,7 @@ GPIO gpio[34] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -964,7 +964,7 @@ GPIO gpio[35] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -987,7 +987,7 @@ GPIO gpio[36] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1010,7 +1010,7 @@ GPIO gpio[37] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1027,13 +1027,13 @@ inout <B>MIO 38</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -GPIO +I2C 0 </TD> <TD width=10% BGCOLOR=#FBF5EF> -gpio[38] +scl </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1050,13 +1050,13 @@ inout <B>MIO 39</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -GPIO +I2C 0 </TD> <TD width=10% BGCOLOR=#FBF5EF> -gpio[39] +sda </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1079,7 +1079,7 @@ SD 0 clk </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1102,7 +1102,7 @@ SD 0 cmd </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1125,7 +1125,7 @@ SD 0 data[0] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1148,7 +1148,7 @@ SD 0 data[1] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1171,7 +1171,7 @@ SD 0 data[2] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1194,7 +1194,7 @@ SD 0 data[3] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1217,7 +1217,7 @@ SD 0 cd </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1240,7 +1240,7 @@ SD 0 wp </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1263,7 +1263,7 @@ UART 1 tx </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1286,7 +1286,7 @@ UART 1 rx </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1309,7 +1309,7 @@ GPIO gpio[50] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1332,7 +1332,7 @@ GPIO gpio[51] </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1355,7 +1355,7 @@ Enet 0 mdc </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -1378,7 +1378,7 @@ Enet 0 mdio </TD> <TD width=10% BGCOLOR=#FBF5EF> -LVCMOS 3.3V +LVCMOS 1.8V </TD> <TD width=10% BGCOLOR=#FBF5EF> slow @@ -33340,10 +33340,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -33403,7 +33403,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 16 Control</B> @@ -33607,10 +33607,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -33670,7 +33670,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 17 Control</B> @@ -33874,10 +33874,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -33937,7 +33937,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 18 Control</B> @@ -34141,10 +34141,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -34204,7 +34204,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 19 Control</B> @@ -34408,10 +34408,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -34471,7 +34471,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 20 Control</B> @@ -34675,10 +34675,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -34738,7 +34738,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 21 Control</B> @@ -34942,10 +34942,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -35005,7 +35005,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 22 Control</B> @@ -35209,10 +35209,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -35272,7 +35272,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 23 Control</B> @@ -35476,10 +35476,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -35539,7 +35539,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 24 Control</B> @@ -35743,10 +35743,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -35806,7 +35806,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 25 Control</B> @@ -36010,10 +36010,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -36073,7 +36073,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 26 Control</B> @@ -36277,10 +36277,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -36340,7 +36340,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 27 Control</B> @@ -36504,10 +36504,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 28 (bank 0), Input/Output 001: CAN 1 Tx, Output 010: I2C 1 Serial Clock, Input/Output 011: reserved 100: SDIO 0 Clock, Input/Output 101: SPI 0 Serial Clock, Input/Output 110: TTC 1 Wave, Output 111: UART 1 TxD, Output</B> @@ -36544,10 +36544,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -36607,7 +36607,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 28 Control</B> @@ -36771,10 +36771,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 29 (bank 0), Input/Output 001: CAN 1 Rx, Input 010: I2C 1 Serial Data, Input/Output 011: reserved 100: SDIO 0 Command, Input/Output 101: SPI 0 MISO, Input/Output 110: TTC 1 Clock, Input 111: UART 1 RxD, Input</B> @@ -36811,10 +36811,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -36874,7 +36874,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 29 Control</B> @@ -37038,10 +37038,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>2</B> +<B>0</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>40</B> +<B>0</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 30 (bank 0), Input/Output 001: CAN 0 Rx, Input 010: I2C 0 Serial Clock, Input/Ouput 011: reserved 100: SDIO 0 IO Bit 0, Input/Output 101: SPI 0 Slave Select 0, Input/Output 110: TTC 0 Wave, Output 111: UART 0 RxD, Input</B> @@ -37078,10 +37078,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -37141,7 +37141,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1740</B> +<B>1300</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 30 Control</B> @@ -37305,10 +37305,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>2</B> +<B>0</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>40</B> +<B>0</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 31 (bank 0), Input/Output 001: CAN 0 Tx, Output 010: I2C 0 Serial Data, Input/Output 011: reserved 100: SDIO 0 IO Bit 1, Input/Output 101: SPI 0 Slave Select 1, Output 110: TTC 0 Clock, Input 111: UART 0 TxD, Output</B> @@ -37345,10 +37345,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -37408,7 +37408,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1740</B> +<B>1300</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 31 Control</B> @@ -37612,10 +37612,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -37675,7 +37675,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 32 Control</B> @@ -37879,10 +37879,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -37942,7 +37942,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 33 Control</B> @@ -38146,10 +38146,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -38209,7 +38209,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 34 Control</B> @@ -38413,10 +38413,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -38476,7 +38476,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 35 Control</B> @@ -38680,10 +38680,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -38743,7 +38743,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 36 Control</B> @@ -38947,10 +38947,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -39010,7 +39010,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 37 Control</B> @@ -39174,10 +39174,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 38 (bank 1), Input/Output 001: CAN 0 Rx, Input 010: I2C 0 Serial Clock, Input/Ouput 011: SWDT Clock, Input 100: SDIO 1 IO Bit 2, Input/Output 101: SPI 1 Slave Select 1, Output 110: reserved 111: UART 0 RxD, Input</B> @@ -39214,10 +39214,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -39277,7 +39277,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 38 Control</B> @@ -39441,10 +39441,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 39 (bank 1), Input/Output 001: CAN 0 Tx, Output 010: I2C 0 Serial Data, Input/Output 011: SWDT Reset, Output 100: SDIO 1 IO Bit 3, Input/Output 101: SPI 1 Slave Select 2, Output 110: reserved 111: UART 0 TxD, Output</B> @@ -39481,10 +39481,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -39544,7 +39544,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 39 Control</B> @@ -39748,10 +39748,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -39811,7 +39811,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 40 Control</B> @@ -40015,10 +40015,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -40078,7 +40078,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 41 Control</B> @@ -40282,10 +40282,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -40345,7 +40345,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 42 Control</B> @@ -40549,10 +40549,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -40612,7 +40612,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 43 Control</B> @@ -40816,10 +40816,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -40879,7 +40879,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 44 Control</B> @@ -41083,10 +41083,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -41146,7 +41146,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 45 Control</B> @@ -41270,10 +41270,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -41333,7 +41333,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1601</B> +<B>1201</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 46 Control</B> @@ -41457,10 +41457,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -41520,7 +41520,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1601</B> +<B>1201</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 47 Control</B> @@ -41724,10 +41724,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -41787,7 +41787,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>6e0</B> +<B>2e0</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 48 Control</B> @@ -41991,10 +41991,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -42054,7 +42054,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>6e1</B> +<B>2e1</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 49 Control</B> @@ -42258,10 +42258,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -42321,7 +42321,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 50 Control</B> @@ -42525,10 +42525,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -42588,7 +42588,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 51 Control</B> @@ -42792,10 +42792,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -42855,7 +42855,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 52 Control</B> @@ -43059,10 +43059,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -43122,7 +43122,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1680</B> +<B>1280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 53 Control</B> @@ -79435,10 +79435,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -79498,7 +79498,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 16 Control</B> @@ -79702,10 +79702,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -79765,7 +79765,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 17 Control</B> @@ -79969,10 +79969,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -80032,7 +80032,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 18 Control</B> @@ -80236,10 +80236,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -80299,7 +80299,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 19 Control</B> @@ -80503,10 +80503,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -80566,7 +80566,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 20 Control</B> @@ -80770,10 +80770,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -80833,7 +80833,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 21 Control</B> @@ -81037,10 +81037,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -81100,7 +81100,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 22 Control</B> @@ -81304,10 +81304,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -81367,7 +81367,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 23 Control</B> @@ -81571,10 +81571,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -81634,7 +81634,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 24 Control</B> @@ -81838,10 +81838,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -81901,7 +81901,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 25 Control</B> @@ -82105,10 +82105,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -82168,7 +82168,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 26 Control</B> @@ -82372,10 +82372,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -82435,7 +82435,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 27 Control</B> @@ -82599,10 +82599,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 28 (bank 0) 001: CAN 1 Tx 010: I2C 1 Serial Clock 011: reserved 100: SDIO 0 Clock 101: SPI 0 Serial Clock 110: TTC 1 Wave Out 111: UART 1 TxD</B> @@ -82639,10 +82639,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -82702,7 +82702,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 28 Control</B> @@ -82866,10 +82866,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 29 (bank 0) 001: CAN 1 Rx 010: I2C 1 Serial Data 011: reserved 100: SDIO 0 Command 101: SPI 0 MISO 110: TTC 1 Clock Input 111: UART 1 RxD</B> @@ -82906,10 +82906,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -82969,7 +82969,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 29 Control</B> @@ -83133,10 +83133,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>2</B> +<B>0</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>40</B> +<B>0</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 30 (bank 0) 001: CAN 0 Rx 010: I2C 0 Serial Clock 011: reserved 100: SDIO 0 IO Bit 0 101: SPI 0 Slave Select 0 110: TTC 0 Wave Out 111: UART 0 RxD</B> @@ -83173,10 +83173,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -83236,7 +83236,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1740</B> +<B>1300</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 30 Control</B> @@ -83400,10 +83400,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>2</B> +<B>0</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>40</B> +<B>0</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 31 (bank 0) 001: CAN 0 Tx 010: I2C 0 Serial Data 011: reserved 100: SDIO 0 IO Bit 1 101: SPI 0 Slave Select 1 110: TTC 0 Clock Intput 111: UART 0 TxD</B> @@ -83440,10 +83440,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -83503,7 +83503,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1740</B> +<B>1300</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 31 Control</B> @@ -83707,10 +83707,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -83770,7 +83770,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 32 Control</B> @@ -83974,10 +83974,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -84037,7 +84037,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 33 Control</B> @@ -84241,10 +84241,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -84304,7 +84304,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 34 Control</B> @@ -84508,10 +84508,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -84571,7 +84571,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 35 Control</B> @@ -84775,10 +84775,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -84838,7 +84838,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 36 Control</B> @@ -85042,10 +85042,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -85105,7 +85105,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 37 Control</B> @@ -85269,10 +85269,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 38 (bank 1) 001: CAN 0 Rx 010: I2C 0 Serial Clock 011: SWDT Clock In 100: SDIO 1 IO Bit 2 101: SPI 1 Slave Select 1 110: reserved 111: UART 0 RxD</B> @@ -85309,10 +85309,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -85372,7 +85372,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 38 Control</B> @@ -85536,10 +85536,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 000: GPIO 39 (bank 1) 001: CAN 0 Tx 010: I2C 0 Serial Data 011: SWDT Reset Out 100: SDIO 1 IO Bit 3 101: SPI 1 Slave Select 2 110: reserved 111: UART 0 TxD</B> @@ -85576,10 +85576,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -85639,7 +85639,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 39 Control</B> @@ -85843,10 +85843,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -85906,7 +85906,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 40 Control</B> @@ -86110,10 +86110,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -86173,7 +86173,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 41 Control</B> @@ -86377,10 +86377,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -86440,7 +86440,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 42 Control</B> @@ -86644,10 +86644,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -86707,7 +86707,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 43 Control</B> @@ -86911,10 +86911,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -86974,7 +86974,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 44 Control</B> @@ -87178,10 +87178,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -87241,7 +87241,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 45 Control</B> @@ -87365,10 +87365,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -87428,7 +87428,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1601</B> +<B>1201</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 46 Control</B> @@ -87552,10 +87552,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -87615,7 +87615,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1601</B> +<B>1201</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 47 Control</B> @@ -87819,10 +87819,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -87882,7 +87882,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>6e0</B> +<B>2e0</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 48 Control</B> @@ -88086,10 +88086,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -88149,7 +88149,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>6e1</B> +<B>2e1</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 49 Control</B> @@ -88353,10 +88353,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -88416,7 +88416,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 50 Control</B> @@ -88620,10 +88620,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -88683,7 +88683,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 51 Control</B> @@ -88887,10 +88887,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -88950,7 +88950,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 52 Control</B> @@ -89154,10 +89154,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Operates the same as MIO_PIN_00[IO_Type]</B> @@ -89217,7 +89217,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1680</B> +<B>1280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Pin 53 Control</B> @@ -124891,10 +124891,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -124954,7 +124954,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 16</B> @@ -125158,10 +125158,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -125221,7 +125221,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 17</B> @@ -125425,10 +125425,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -125488,7 +125488,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 18</B> @@ -125692,10 +125692,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -125755,7 +125755,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 19</B> @@ -125959,10 +125959,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -126022,7 +126022,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 20</B> @@ -126226,10 +126226,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -126289,7 +126289,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>702</B> +<B>302</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 21</B> @@ -126493,10 +126493,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -126556,7 +126556,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 22</B> @@ -126760,10 +126760,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -126823,7 +126823,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 23</B> @@ -127027,10 +127027,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -127090,7 +127090,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 24</B> @@ -127294,10 +127294,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -127357,7 +127357,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 25</B> @@ -127561,10 +127561,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -127624,7 +127624,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 26</B> @@ -127828,10 +127828,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -127891,7 +127891,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>703</B> +<B>303</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 27</B> @@ -128055,10 +128055,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 0= gpio0, Input, gpio_0_pin_in[28]- (GPIO bank 0) 0= gpio0, Output, gpio_0_pin_out[28]- (GPIO bank 0) 1= can1, Output, can1_phy_tx- (Can TX signal) 2= i2c1, Input, i2c1_scl_input- (SCL signal) 2= i2c1, Output, i2c1_scl_out- (SCL signal) 3= Not Used 4= sd0, Input, sd0_clk_in- (SDSDIO clock) 4= sd0, Output, sd0_clk_out- (SDSDIO clock) 5= spi0, Input, spi0_sclk_in- (SPI Clock) 5= spi0, Output, spi0_sclk_out- (SPI Clock) 6= ttc1, Output, ttc1_wave_out- (TTC waveform clock) 7= ua1, Output, ua1_txd- (UART transmitter serial output)</B> @@ -128095,10 +128095,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -128158,7 +128158,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 28</B> @@ -128322,10 +128322,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 0= gpio0, Input, gpio_0_pin_in[29]- (GPIO bank 0) 0= gpio0, Output, gpio_0_pin_out[29]- (GPIO bank 0) 1= can1, Input, can1_phy_rx- (Can RX signal) 2= i2c1, Input, i2c1_sda_input- (SDA signal) 2= i2c1, Output, i2c1_sda_out- (SDA signal) 3= Not Used 4= sd0, Input, sd0_cmd_in- (Command Indicator) 4= sd0, Output, sd0_cmd_out- (Command Indicator) 5= spi0, Input, spi0_mi- (MISO signal) 5= spi0, Output, spi0_so- (MISO signal) 6= ttc1, Input, ttc1_clk_in- (TTC input clock) 7= ua1, Input, ua1_rxd- (UART receiver serial input)</B> @@ -128362,10 +128362,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -128425,7 +128425,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 29</B> @@ -128589,10 +128589,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>2</B> +<B>0</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>40</B> +<B>0</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 0= gpio0, Input, gpio_0_pin_in[30]- (GPIO bank 0) 0= gpio0, Output, gpio_0_pin_out[30]- (GPIO bank 0) 1= can0, Input, can0_phy_rx- (Can RX signal) 2= i2c0, Input, i2c0_scl_input- (SCL signal) 2= i2c0, Output, i2c0_scl_out- (SCL signal) 3= Not Used 4= sd0, Input, sd0_data_in[0]- (4-bit Data bus) 4= sd0, Output, sd0_data_out[0]- (4-bit Data bus) 5= spi0, Input, spi0_n_ss_in- (SPI Master Selects) 5= spi0, Output, spi0_n_ss_out[0]- (SPI Master Selects) 6= ttc0, Output, ttc0_wave_out- (TTC waveform clock) 7= ua0, Input, ua0_rxd- (UART receiver serial input)</B> @@ -128629,10 +128629,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -128692,7 +128692,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1740</B> +<B>1300</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 30</B> @@ -128856,10 +128856,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>2</B> +<B>0</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>40</B> +<B>0</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 0= gpio0, Input, gpio_0_pin_in[31]- (GPIO bank 0) 0= gpio0, Output, gpio_0_pin_out[31]- (GPIO bank 0) 1= can0, Output, can0_phy_tx- (Can TX signal) 2= i2c0, Input, i2c0_sda_input- (SDA signal) 2= i2c0, Output, i2c0_sda_out- (SDA signal) 3= Not Used 4= sd0, Input, sd0_data_in[1]- (4-bit Data bus) 4= sd0, Output, sd0_data_out[1]- (4-bit Data bus) 5= spi0, Output, spi0_n_ss_out[1]- (SPI Master Selects) 6= ttc0, Input, ttc0_clk_in- (TTC input clock) 7= ua0, Output, ua0_txd- (UART transmitter serial output)</B> @@ -128896,10 +128896,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -128959,7 +128959,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1740</B> +<B>1300</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 31</B> @@ -129163,10 +129163,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -129226,7 +129226,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 32</B> @@ -129430,10 +129430,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -129493,7 +129493,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 33</B> @@ -129697,10 +129697,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -129760,7 +129760,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 34</B> @@ -129964,10 +129964,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -130027,7 +130027,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 35</B> @@ -130231,10 +130231,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -130294,7 +130294,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 36</B> @@ -130498,10 +130498,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -130561,7 +130561,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 37</B> @@ -130725,10 +130725,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 0= gpio1, Input, gpio_1_pin_in[6]- (GPIO bank 1) 0= gpio1, Output, gpio_1_pin_out[6]- (GPIO bank 1) 1= can0, Input, can0_phy_rx- (Can RX signal) 2= i2c0, Input, i2c0_scl_input- (SCL signal) 2= i2c0, Output, i2c0_scl_out- (SCL signal) 3= wdt, Input, wdt_clk_in- (Watch Dog Timer Input clock) 4= sd1, Input, sd1_data_in[2]- (4-bit Data bus) 4= sd1, Output, sd1_data_out[2]- (4-bit Data bus) 5= spi1, Output, spi1_n_ss_out[1]- (SPI Master Selects) 6= Not Used 7= ua0, Input, ua0_rxd- (UART receiver serial input)</B> @@ -130765,10 +130765,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -130828,7 +130828,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 38</B> @@ -130992,10 +130992,10 @@ SLCR_LOCK <B>e0</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>0</B> +<B>2</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>0</B> +<B>40</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Level 3 Mux Select 0= gpio1, Input, gpio_1_pin_in[7]- (GPIO bank 1) 0= gpio1, Output, gpio_1_pin_out[7]- (GPIO bank 1) 1= can0, Output, can0_phy_tx- (Can TX signal) 2= i2c0, Input, i2c0_sda_input- (SDA signal) 2= i2c0, Output, i2c0_sda_out- (SDA signal) 3= wdt, Output, wdt_rst_out- (Watch Dog Timer Output clock) 4= sd1, Input, sd1_data_in[3]- (4-bit Data bus) 4= sd1, Output, sd1_data_out[3]- (4-bit Data bus) 5= spi1, Output, spi1_n_ss_out[2]- (SPI Master Selects) 6= Not Used 7= ua0, Output, ua0_txd- (UART transmitter serial output)</B> @@ -131032,10 +131032,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -131095,7 +131095,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1240</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 39</B> @@ -131299,10 +131299,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -131362,7 +131362,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 40</B> @@ -131566,10 +131566,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -131629,7 +131629,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 41</B> @@ -131833,10 +131833,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -131896,7 +131896,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 42</B> @@ -132100,10 +132100,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -132163,7 +132163,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 43</B> @@ -132367,10 +132367,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -132430,7 +132430,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 44</B> @@ -132634,10 +132634,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -132697,7 +132697,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 45</B> @@ -132821,10 +132821,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -132884,7 +132884,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1601</B> +<B>1201</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 46</B> @@ -133008,10 +133008,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -133071,7 +133071,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1601</B> +<B>1201</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 47</B> @@ -133275,10 +133275,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -133338,7 +133338,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>6e0</B> +<B>2e0</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 48</B> @@ -133542,10 +133542,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -133605,7 +133605,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>6e1</B> +<B>2e1</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 49</B> @@ -133809,10 +133809,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -133872,7 +133872,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 50</B> @@ -134076,10 +134076,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -134139,7 +134139,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1600</B> +<B>1200</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 51</B> @@ -134343,10 +134343,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -134406,7 +134406,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>680</B> +<B>280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 52</B> @@ -134610,10 +134610,10 @@ SLCR_LOCK <B>e00</B> </TD> <TD width=10% BGCOLOR=#FBF5EF> -<B>3</B> +<B>1</B> </TD> <TD width=15% BGCOLOR=#FBF5EF> -<B>600</B> +<B>200</B> </TD> <TD width=35% BGCOLOR=#FBF5EF> <B>Selects the IO Type 0= LVTTL 1= LVCMOS18 2= LVCMOS25 3= LVCMOS33 4= HSTL 5-7= LVCMOS33</B> @@ -134673,7 +134673,7 @@ SLCR_LOCK <B></B> </TD> <TD width=15% BGCOLOR=#C0C0C0> -<B>1680</B> +<B>1280</B> </TD> <TD width=35% BGCOLOR=#C0C0C0> <B>MIO Control for Pin 53</B> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.tcl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.tcl index 512a90dff3b1feb857a2f24336e748ae0a802aac..2545960a2c2cd6ca312dbac7a5b9e93f9520c7e5 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.tcl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init.tcl @@ -158,44 +158,44 @@ proc ps7_mio_init_data_3_0 {} { mask_write 0XF8000734 0x00003FFF 0x00000602 mask_write 0XF8000738 0x00003FFF 0x00001600 mask_write 0XF800073C 0x00003FFF 0x00001600 - mask_write 0XF8000740 0x00003FFF 0x00000702 - mask_write 0XF8000744 0x00003FFF 0x00000702 - mask_write 0XF8000748 0x00003FFF 0x00000702 - mask_write 0XF800074C 0x00003FFF 0x00000702 - mask_write 0XF8000750 0x00003FFF 0x00000702 - mask_write 0XF8000754 0x00003FFF 0x00000702 - mask_write 0XF8000758 0x00003FFF 0x00000703 - mask_write 0XF800075C 0x00003FFF 0x00000703 - mask_write 0XF8000760 0x00003FFF 0x00000703 - mask_write 0XF8000764 0x00003FFF 0x00000703 - mask_write 0XF8000768 0x00003FFF 0x00000703 - mask_write 0XF800076C 0x00003FFF 0x00000703 - mask_write 0XF8000770 0x00003FFF 0x00001600 - mask_write 0XF8000774 0x00003FFF 0x00001600 - mask_write 0XF8000778 0x00003FFF 0x00001740 - mask_write 0XF800077C 0x00003FFF 0x00001740 - mask_write 0XF8000780 0x00003FFF 0x00001600 - mask_write 0XF8000784 0x00003FFF 0x00001600 - mask_write 0XF8000788 0x00003FFF 0x00001600 - mask_write 0XF800078C 0x00003FFF 0x00001600 - mask_write 0XF8000790 0x00003FFF 0x00001600 - mask_write 0XF8000794 0x00003FFF 0x00001600 - mask_write 0XF8000798 0x00003FFF 0x00001600 - mask_write 0XF800079C 0x00003FFF 0x00001600 - mask_write 0XF80007A0 0x00003FFF 0x00000680 - mask_write 0XF80007A4 0x00003FFF 0x00000680 - mask_write 0XF80007A8 0x00003FFF 0x00000680 - mask_write 0XF80007AC 0x00003FFF 0x00000680 - mask_write 0XF80007B0 0x00003FFF 0x00000680 - mask_write 0XF80007B4 0x00003FFF 0x00000680 - mask_write 0XF80007B8 0x00003F01 0x00001601 - mask_write 0XF80007BC 0x00003F01 0x00001601 - mask_write 0XF80007C0 0x00003FFF 0x000006E0 - mask_write 0XF80007C4 0x00003FFF 0x000006E1 - mask_write 0XF80007C8 0x00003FFF 0x00001600 - mask_write 0XF80007CC 0x00003FFF 0x00001600 - mask_write 0XF80007D0 0x00003FFF 0x00000680 - mask_write 0XF80007D4 0x00003FFF 0x00001680 + mask_write 0XF8000740 0x00003FFF 0x00000302 + mask_write 0XF8000744 0x00003FFF 0x00000302 + mask_write 0XF8000748 0x00003FFF 0x00000302 + mask_write 0XF800074C 0x00003FFF 0x00000302 + mask_write 0XF8000750 0x00003FFF 0x00000302 + mask_write 0XF8000754 0x00003FFF 0x00000302 + mask_write 0XF8000758 0x00003FFF 0x00000303 + mask_write 0XF800075C 0x00003FFF 0x00000303 + mask_write 0XF8000760 0x00003FFF 0x00000303 + mask_write 0XF8000764 0x00003FFF 0x00000303 + mask_write 0XF8000768 0x00003FFF 0x00000303 + mask_write 0XF800076C 0x00003FFF 0x00000303 + mask_write 0XF8000770 0x00003FFF 0x00001240 + mask_write 0XF8000774 0x00003FFF 0x00001240 + mask_write 0XF8000778 0x00003FFF 0x00001300 + mask_write 0XF800077C 0x00003FFF 0x00001300 + mask_write 0XF8000780 0x00003FFF 0x00001200 + mask_write 0XF8000784 0x00003FFF 0x00001200 + mask_write 0XF8000788 0x00003FFF 0x00001200 + mask_write 0XF800078C 0x00003FFF 0x00001200 + mask_write 0XF8000790 0x00003FFF 0x00001200 + mask_write 0XF8000794 0x00003FFF 0x00001200 + mask_write 0XF8000798 0x00003FFF 0x00001240 + mask_write 0XF800079C 0x00003FFF 0x00001240 + mask_write 0XF80007A0 0x00003FFF 0x00000280 + mask_write 0XF80007A4 0x00003FFF 0x00000280 + mask_write 0XF80007A8 0x00003FFF 0x00000280 + mask_write 0XF80007AC 0x00003FFF 0x00000280 + mask_write 0XF80007B0 0x00003FFF 0x00000280 + mask_write 0XF80007B4 0x00003FFF 0x00000280 + mask_write 0XF80007B8 0x00003F01 0x00001201 + mask_write 0XF80007BC 0x00003F01 0x00001201 + mask_write 0XF80007C0 0x00003FFF 0x000002E0 + mask_write 0XF80007C4 0x00003FFF 0x000002E1 + mask_write 0XF80007C8 0x00003FFF 0x00001200 + mask_write 0XF80007CC 0x00003FFF 0x00001200 + mask_write 0XF80007D0 0x00003FFF 0x00000280 + mask_write 0XF80007D4 0x00003FFF 0x00001280 mask_write 0XF8000830 0x003F003F 0x002E002F mask_write 0XF8000004 0x0000FFFF 0x0000767B } @@ -391,44 +391,44 @@ proc ps7_mio_init_data_2_0 {} { mask_write 0XF8000734 0x00003FFF 0x00000602 mask_write 0XF8000738 0x00003FFF 0x00001600 mask_write 0XF800073C 0x00003FFF 0x00001600 - mask_write 0XF8000740 0x00003FFF 0x00000702 - mask_write 0XF8000744 0x00003FFF 0x00000702 - mask_write 0XF8000748 0x00003FFF 0x00000702 - mask_write 0XF800074C 0x00003FFF 0x00000702 - mask_write 0XF8000750 0x00003FFF 0x00000702 - mask_write 0XF8000754 0x00003FFF 0x00000702 - mask_write 0XF8000758 0x00003FFF 0x00000703 - mask_write 0XF800075C 0x00003FFF 0x00000703 - mask_write 0XF8000760 0x00003FFF 0x00000703 - mask_write 0XF8000764 0x00003FFF 0x00000703 - mask_write 0XF8000768 0x00003FFF 0x00000703 - mask_write 0XF800076C 0x00003FFF 0x00000703 - mask_write 0XF8000770 0x00003FFF 0x00001600 - mask_write 0XF8000774 0x00003FFF 0x00001600 - mask_write 0XF8000778 0x00003FFF 0x00001740 - mask_write 0XF800077C 0x00003FFF 0x00001740 - mask_write 0XF8000780 0x00003FFF 0x00001600 - mask_write 0XF8000784 0x00003FFF 0x00001600 - mask_write 0XF8000788 0x00003FFF 0x00001600 - mask_write 0XF800078C 0x00003FFF 0x00001600 - mask_write 0XF8000790 0x00003FFF 0x00001600 - mask_write 0XF8000794 0x00003FFF 0x00001600 - mask_write 0XF8000798 0x00003FFF 0x00001600 - mask_write 0XF800079C 0x00003FFF 0x00001600 - mask_write 0XF80007A0 0x00003FFF 0x00000680 - mask_write 0XF80007A4 0x00003FFF 0x00000680 - mask_write 0XF80007A8 0x00003FFF 0x00000680 - mask_write 0XF80007AC 0x00003FFF 0x00000680 - mask_write 0XF80007B0 0x00003FFF 0x00000680 - mask_write 0XF80007B4 0x00003FFF 0x00000680 - mask_write 0XF80007B8 0x00003F01 0x00001601 - mask_write 0XF80007BC 0x00003F01 0x00001601 - mask_write 0XF80007C0 0x00003FFF 0x000006E0 - mask_write 0XF80007C4 0x00003FFF 0x000006E1 - mask_write 0XF80007C8 0x00003FFF 0x00001600 - mask_write 0XF80007CC 0x00003FFF 0x00001600 - mask_write 0XF80007D0 0x00003FFF 0x00000680 - mask_write 0XF80007D4 0x00003FFF 0x00001680 + mask_write 0XF8000740 0x00003FFF 0x00000302 + mask_write 0XF8000744 0x00003FFF 0x00000302 + mask_write 0XF8000748 0x00003FFF 0x00000302 + mask_write 0XF800074C 0x00003FFF 0x00000302 + mask_write 0XF8000750 0x00003FFF 0x00000302 + mask_write 0XF8000754 0x00003FFF 0x00000302 + mask_write 0XF8000758 0x00003FFF 0x00000303 + mask_write 0XF800075C 0x00003FFF 0x00000303 + mask_write 0XF8000760 0x00003FFF 0x00000303 + mask_write 0XF8000764 0x00003FFF 0x00000303 + mask_write 0XF8000768 0x00003FFF 0x00000303 + mask_write 0XF800076C 0x00003FFF 0x00000303 + mask_write 0XF8000770 0x00003FFF 0x00001240 + mask_write 0XF8000774 0x00003FFF 0x00001240 + mask_write 0XF8000778 0x00003FFF 0x00001300 + mask_write 0XF800077C 0x00003FFF 0x00001300 + mask_write 0XF8000780 0x00003FFF 0x00001200 + mask_write 0XF8000784 0x00003FFF 0x00001200 + mask_write 0XF8000788 0x00003FFF 0x00001200 + mask_write 0XF800078C 0x00003FFF 0x00001200 + mask_write 0XF8000790 0x00003FFF 0x00001200 + mask_write 0XF8000794 0x00003FFF 0x00001200 + mask_write 0XF8000798 0x00003FFF 0x00001240 + mask_write 0XF800079C 0x00003FFF 0x00001240 + mask_write 0XF80007A0 0x00003FFF 0x00000280 + mask_write 0XF80007A4 0x00003FFF 0x00000280 + mask_write 0XF80007A8 0x00003FFF 0x00000280 + mask_write 0XF80007AC 0x00003FFF 0x00000280 + mask_write 0XF80007B0 0x00003FFF 0x00000280 + mask_write 0XF80007B4 0x00003FFF 0x00000280 + mask_write 0XF80007B8 0x00003F01 0x00001201 + mask_write 0XF80007BC 0x00003F01 0x00001201 + mask_write 0XF80007C0 0x00003FFF 0x000002E0 + mask_write 0XF80007C4 0x00003FFF 0x000002E1 + mask_write 0XF80007C8 0x00003FFF 0x00001200 + mask_write 0XF80007CC 0x00003FFF 0x00001200 + mask_write 0XF80007D0 0x00003FFF 0x00000280 + mask_write 0XF80007D4 0x00003FFF 0x00001280 mask_write 0XF8000830 0x003F003F 0x002E002F mask_write 0XF8000004 0x0000FFFF 0x0000767B } @@ -622,44 +622,44 @@ proc ps7_mio_init_data_1_0 {} { mask_write 0XF8000734 0x00003FFF 0x00000602 mask_write 0XF8000738 0x00003FFF 0x00001600 mask_write 0XF800073C 0x00003FFF 0x00001600 - mask_write 0XF8000740 0x00003FFF 0x00000702 - mask_write 0XF8000744 0x00003FFF 0x00000702 - mask_write 0XF8000748 0x00003FFF 0x00000702 - mask_write 0XF800074C 0x00003FFF 0x00000702 - mask_write 0XF8000750 0x00003FFF 0x00000702 - mask_write 0XF8000754 0x00003FFF 0x00000702 - mask_write 0XF8000758 0x00003FFF 0x00000703 - mask_write 0XF800075C 0x00003FFF 0x00000703 - mask_write 0XF8000760 0x00003FFF 0x00000703 - mask_write 0XF8000764 0x00003FFF 0x00000703 - mask_write 0XF8000768 0x00003FFF 0x00000703 - mask_write 0XF800076C 0x00003FFF 0x00000703 - mask_write 0XF8000770 0x00003FFF 0x00001600 - mask_write 0XF8000774 0x00003FFF 0x00001600 - mask_write 0XF8000778 0x00003FFF 0x00001740 - mask_write 0XF800077C 0x00003FFF 0x00001740 - mask_write 0XF8000780 0x00003FFF 0x00001600 - mask_write 0XF8000784 0x00003FFF 0x00001600 - mask_write 0XF8000788 0x00003FFF 0x00001600 - mask_write 0XF800078C 0x00003FFF 0x00001600 - mask_write 0XF8000790 0x00003FFF 0x00001600 - mask_write 0XF8000794 0x00003FFF 0x00001600 - mask_write 0XF8000798 0x00003FFF 0x00001600 - mask_write 0XF800079C 0x00003FFF 0x00001600 - mask_write 0XF80007A0 0x00003FFF 0x00000680 - mask_write 0XF80007A4 0x00003FFF 0x00000680 - mask_write 0XF80007A8 0x00003FFF 0x00000680 - mask_write 0XF80007AC 0x00003FFF 0x00000680 - mask_write 0XF80007B0 0x00003FFF 0x00000680 - mask_write 0XF80007B4 0x00003FFF 0x00000680 - mask_write 0XF80007B8 0x00003F01 0x00001601 - mask_write 0XF80007BC 0x00003F01 0x00001601 - mask_write 0XF80007C0 0x00003FFF 0x000006E0 - mask_write 0XF80007C4 0x00003FFF 0x000006E1 - mask_write 0XF80007C8 0x00003FFF 0x00001600 - mask_write 0XF80007CC 0x00003FFF 0x00001600 - mask_write 0XF80007D0 0x00003FFF 0x00000680 - mask_write 0XF80007D4 0x00003FFF 0x00001680 + mask_write 0XF8000740 0x00003FFF 0x00000302 + mask_write 0XF8000744 0x00003FFF 0x00000302 + mask_write 0XF8000748 0x00003FFF 0x00000302 + mask_write 0XF800074C 0x00003FFF 0x00000302 + mask_write 0XF8000750 0x00003FFF 0x00000302 + mask_write 0XF8000754 0x00003FFF 0x00000302 + mask_write 0XF8000758 0x00003FFF 0x00000303 + mask_write 0XF800075C 0x00003FFF 0x00000303 + mask_write 0XF8000760 0x00003FFF 0x00000303 + mask_write 0XF8000764 0x00003FFF 0x00000303 + mask_write 0XF8000768 0x00003FFF 0x00000303 + mask_write 0XF800076C 0x00003FFF 0x00000303 + mask_write 0XF8000770 0x00003FFF 0x00001240 + mask_write 0XF8000774 0x00003FFF 0x00001240 + mask_write 0XF8000778 0x00003FFF 0x00001300 + mask_write 0XF800077C 0x00003FFF 0x00001300 + mask_write 0XF8000780 0x00003FFF 0x00001200 + mask_write 0XF8000784 0x00003FFF 0x00001200 + mask_write 0XF8000788 0x00003FFF 0x00001200 + mask_write 0XF800078C 0x00003FFF 0x00001200 + mask_write 0XF8000790 0x00003FFF 0x00001200 + mask_write 0XF8000794 0x00003FFF 0x00001200 + mask_write 0XF8000798 0x00003FFF 0x00001240 + mask_write 0XF800079C 0x00003FFF 0x00001240 + mask_write 0XF80007A0 0x00003FFF 0x00000280 + mask_write 0XF80007A4 0x00003FFF 0x00000280 + mask_write 0XF80007A8 0x00003FFF 0x00000280 + mask_write 0XF80007AC 0x00003FFF 0x00000280 + mask_write 0XF80007B0 0x00003FFF 0x00000280 + mask_write 0XF80007B4 0x00003FFF 0x00000280 + mask_write 0XF80007B8 0x00003F01 0x00001201 + mask_write 0XF80007BC 0x00003F01 0x00001201 + mask_write 0XF80007C0 0x00003FFF 0x000002E0 + mask_write 0XF80007C4 0x00003FFF 0x000002E1 + mask_write 0XF80007C8 0x00003FFF 0x00001200 + mask_write 0XF80007CC 0x00003FFF 0x00001200 + mask_write 0XF80007D0 0x00003FFF 0x00000280 + mask_write 0XF80007D4 0x00003FFF 0x00001280 mask_write 0XF8000830 0x003F003F 0x002E002F mask_write 0XF8000004 0x0000FFFF 0x0000767B } diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init_gpl.c b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init_gpl.c index 72f4ce756df687b17c97c70d28f9f401a0006c1a..0dddd89bea37aa35ad8b9161bf61426b57c6c01c 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init_gpl.c +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_init_gpl.c @@ -2492,9 +2492,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000740[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000740[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000740[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2502,7 +2502,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000740[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000744[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2521,9 +2521,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000744[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000744[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000744[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2531,7 +2531,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000744[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000748[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2550,9 +2550,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000748[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000748[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000748[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2560,7 +2560,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000748[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF800074C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2579,9 +2579,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF800074C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800074C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800074C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2589,7 +2589,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800074C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000750[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2608,9 +2608,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000750[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000750[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000750[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2618,7 +2618,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000750[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000754[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2637,9 +2637,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000754[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000754[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000754[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2647,7 +2647,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000754[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000758[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2666,9 +2666,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000758[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000758[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000758[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2676,7 +2676,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000758[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800075C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2695,9 +2695,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF800075C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800075C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800075C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2705,7 +2705,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800075C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000760[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2724,9 +2724,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000760[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000760[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000760[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2734,7 +2734,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000760[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000764[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2753,9 +2753,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000764[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000764[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000764[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2763,7 +2763,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000764[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000768[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2782,9 +2782,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF8000768[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000768[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000768[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2792,7 +2792,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000768[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800076C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -2811,9 +2811,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 1 // .. ==> 0XF800076C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800076C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800076C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -2821,7 +2821,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800076C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000770[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2834,15 +2834,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000770[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000770[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000770[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000770[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000770[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000770[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2850,7 +2850,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000770[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000774[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2863,15 +2863,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000774[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000774[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000774[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000774[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000774[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000774[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2879,7 +2879,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000774[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000778[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2892,15 +2892,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000778[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF8000778[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF8000778[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000778[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000778[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2908,7 +2908,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000778[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF800077C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2921,15 +2921,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800077C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF800077C[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF800077C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800077C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800077C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2937,7 +2937,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800077C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000780[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2956,9 +2956,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000780[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000780[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000780[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2966,7 +2966,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000780[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000784[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -2985,9 +2985,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000784[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000784[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000784[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -2995,7 +2995,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000784[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000788[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3014,9 +3014,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000788[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000788[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000788[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3024,7 +3024,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000788[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF800078C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3043,9 +3043,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF800078C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800078C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800078C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3053,7 +3053,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800078C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000790[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3072,9 +3072,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000790[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000790[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000790[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3082,7 +3082,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000790[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000794[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3101,9 +3101,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF8000794[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000794[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000794[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3111,7 +3111,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000794[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000798[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3124,15 +3124,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000798[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000798[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000798[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000798[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000798[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000798[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3140,7 +3140,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF8000798[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF800079C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3153,15 +3153,15 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800079C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF800079C[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800079C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF800079C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800079C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800079C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3169,7 +3169,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF800079C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3188,9 +3188,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007A0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3198,7 +3198,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007A0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3217,9 +3217,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007A4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3227,7 +3227,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007A4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3246,9 +3246,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007A8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A8[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3256,7 +3256,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007A8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007AC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3275,9 +3275,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007AC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007AC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007AC[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3285,7 +3285,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007AC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3304,9 +3304,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007B0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3314,7 +3314,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007B0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3333,9 +3333,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007B4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3343,16 +3343,16 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007B4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007B8[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007B8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007B8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3360,16 +3360,16 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007B8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007BC[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007BC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007BC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007BC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3377,7 +3377,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007BC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3396,9 +3396,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007C0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3406,7 +3406,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007C0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000006E0U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000002E0U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007C4[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -3425,9 +3425,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007C4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3435,7 +3435,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007C4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000006E1U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000002E1U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3454,9 +3454,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007C8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007C8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3464,7 +3464,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007C8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007CC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3483,9 +3483,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007CC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007CC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007CC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3493,7 +3493,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007CC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3512,9 +3512,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007D0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007D0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -3522,7 +3522,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007D0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -3541,9 +3541,9 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. Speed = 0 // .. ==> 0XF80007D4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007D4[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -3551,7 +3551,7 @@ unsigned long ps7_mio_init_data_3_0[] = { // .. ==> 0XF80007D4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001680U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), // .. SDIO0_WP_SEL = 47 // .. ==> 0XF8000830[5:0] = 0x0000002FU // .. ==> MASK : 0x0000003FU VAL : 0x0000002FU @@ -6738,9 +6738,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000740[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000740[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000740[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6748,7 +6748,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000740[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000744[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6767,9 +6767,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000744[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000744[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000744[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6777,7 +6777,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000744[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000748[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6796,9 +6796,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000748[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000748[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000748[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6806,7 +6806,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000748[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF800074C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6825,9 +6825,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF800074C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800074C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800074C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6835,7 +6835,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800074C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000750[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6854,9 +6854,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000750[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000750[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000750[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6864,7 +6864,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000750[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000754[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -6883,9 +6883,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000754[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000754[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000754[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6893,7 +6893,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000754[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000758[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -6912,9 +6912,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000758[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000758[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000758[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6922,7 +6922,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000758[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800075C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -6941,9 +6941,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF800075C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800075C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800075C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6951,7 +6951,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800075C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000760[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -6970,9 +6970,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000760[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000760[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000760[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -6980,7 +6980,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000760[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000764[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -6999,9 +6999,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000764[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000764[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000764[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7009,7 +7009,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000764[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000768[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -7028,9 +7028,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF8000768[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000768[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000768[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7038,7 +7038,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000768[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800076C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -7057,9 +7057,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 1 // .. ==> 0XF800076C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800076C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800076C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7067,7 +7067,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800076C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000770[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7080,15 +7080,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000770[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000770[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000770[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000770[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000770[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000770[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7096,7 +7096,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000770[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000774[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7109,15 +7109,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000774[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000774[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000774[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000774[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000774[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000774[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7125,7 +7125,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000774[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000778[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7138,15 +7138,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000778[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF8000778[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF8000778[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000778[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000778[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7154,7 +7154,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000778[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF800077C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7167,15 +7167,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800077C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF800077C[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF800077C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800077C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800077C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7183,7 +7183,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800077C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000780[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7202,9 +7202,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000780[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000780[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000780[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7212,7 +7212,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000780[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000784[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7231,9 +7231,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000784[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000784[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000784[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7241,7 +7241,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000784[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000788[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7260,9 +7260,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000788[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000788[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000788[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7270,7 +7270,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000788[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF800078C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7289,9 +7289,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF800078C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800078C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800078C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7299,7 +7299,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800078C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000790[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7318,9 +7318,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000790[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000790[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000790[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7328,7 +7328,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000790[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000794[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7347,9 +7347,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF8000794[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000794[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000794[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7357,7 +7357,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000794[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000798[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7370,15 +7370,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000798[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000798[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000798[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000798[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000798[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000798[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7386,7 +7386,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF8000798[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF800079C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7399,15 +7399,15 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800079C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF800079C[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800079C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF800079C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800079C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800079C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7415,7 +7415,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF800079C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7434,9 +7434,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007A0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7444,7 +7444,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007A0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7463,9 +7463,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007A4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7473,7 +7473,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007A4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7492,9 +7492,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007A8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A8[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7502,7 +7502,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007A8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007AC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7521,9 +7521,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007AC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007AC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007AC[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7531,7 +7531,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007AC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7550,9 +7550,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007B0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7560,7 +7560,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007B0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7579,9 +7579,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007B4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7589,16 +7589,16 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007B4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007B8[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007B8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007B8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7606,16 +7606,16 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007B8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007BC[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007BC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007BC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007BC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7623,7 +7623,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007BC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7642,9 +7642,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007C0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7652,7 +7652,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007C0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000006E0U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000002E0U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007C4[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -7671,9 +7671,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007C4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7681,7 +7681,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007C4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000006E1U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000002E1U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7700,9 +7700,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007C8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007C8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7710,7 +7710,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007C8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007CC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7729,9 +7729,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007CC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007CC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007CC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7739,7 +7739,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007CC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7758,9 +7758,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007D0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007D0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -7768,7 +7768,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007D0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -7787,9 +7787,9 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. Speed = 0 // .. ==> 0XF80007D4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007D4[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -7797,7 +7797,7 @@ unsigned long ps7_mio_init_data_2_0[] = { // .. ==> 0XF80007D4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001680U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), // .. SDIO0_WP_SEL = 47 // .. ==> 0XF8000830[5:0] = 0x0000002FU // .. ==> MASK : 0x0000003FU VAL : 0x0000002FU @@ -10915,9 +10915,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000740[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000740[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000740[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000740[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -10925,7 +10925,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000740[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000740, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000744[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -10944,9 +10944,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000744[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000744[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000744[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000744[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -10954,7 +10954,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000744[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000744, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000748[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -10973,9 +10973,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000748[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000748[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000748[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000748[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -10983,7 +10983,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000748[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000748, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF800074C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11002,9 +11002,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF800074C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800074C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800074C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800074C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11012,7 +11012,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800074C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF800074C, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000750[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11031,9 +11031,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000750[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000750[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000750[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000750[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11041,7 +11041,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000750[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000750, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000754[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11060,9 +11060,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000754[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000754[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000754[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000754[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11070,7 +11070,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000754[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000702U), + EMIT_MASKWRITE(0XF8000754, 0x00003FFFU ,0x00000302U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000758[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11089,9 +11089,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000758[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000758[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000758[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000758[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11099,7 +11099,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000758[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000758, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800075C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11118,9 +11118,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF800075C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800075C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800075C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800075C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11128,7 +11128,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800075C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800075C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000760[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11147,9 +11147,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000760[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000760[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000760[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000760[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11157,7 +11157,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000760[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000760, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000764[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11176,9 +11176,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000764[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000764[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000764[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000764[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11186,7 +11186,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000764[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000764, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF8000768[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11205,9 +11205,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF8000768[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000768[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000768[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF8000768[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11215,7 +11215,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000768[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF8000768, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 1 // .. ==> 0XF800076C[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11234,9 +11234,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 1 // .. ==> 0XF800076C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800076C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800076C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF800076C[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11244,7 +11244,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800076C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000703U), + EMIT_MASKWRITE(0XF800076C, 0x00003FFFU ,0x00000303U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000770[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11257,15 +11257,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000770[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000770[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000770[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000770[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000770[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000770[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000770[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11273,7 +11273,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000770[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000770, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000774[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11286,15 +11286,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000774[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000774[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000774[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000774[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000774[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000774[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000774[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11302,7 +11302,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000774[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000774, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000778[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11315,15 +11315,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000778[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF8000778[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF8000778[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF8000778[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF8000778[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000778[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000778[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11331,7 +11331,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000778[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF8000778, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF800077C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11344,15 +11344,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800077C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 2 - // .. ==> 0XF800077C[7:5] = 0x00000002U - // .. ==> MASK : 0x000000E0U VAL : 0x00000040U + // .. L3_SEL = 0 + // .. ==> 0XF800077C[7:5] = 0x00000000U + // .. ==> MASK : 0x000000E0U VAL : 0x00000000U // .. Speed = 1 // .. ==> 0XF800077C[8:8] = 0x00000001U // .. ==> MASK : 0x00000100U VAL : 0x00000100U - // .. IO_Type = 3 - // .. ==> 0XF800077C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800077C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800077C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11360,7 +11360,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800077C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001740U), + EMIT_MASKWRITE(0XF800077C, 0x00003FFFU ,0x00001300U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000780[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11379,9 +11379,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000780[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000780[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000780[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000780[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11389,7 +11389,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000780[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000780, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000784[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11408,9 +11408,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000784[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000784[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000784[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000784[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11418,7 +11418,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000784[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000784, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000788[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11437,9 +11437,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000788[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000788[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000788[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000788[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11447,7 +11447,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000788[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000788, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF800078C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11466,9 +11466,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF800078C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800078C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800078C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800078C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11476,7 +11476,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800078C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800078C, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000790[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11495,9 +11495,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000790[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000790[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000790[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000790[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11505,7 +11505,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000790[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000790, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000794[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11524,9 +11524,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF8000794[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000794[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000794[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000794[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11534,7 +11534,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000794[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000794, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF8000798[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11547,15 +11547,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF8000798[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF8000798[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF8000798[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF8000798[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF8000798[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF8000798[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF8000798[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11563,7 +11563,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF8000798[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF8000798, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF800079C[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11576,15 +11576,15 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. L2_SEL = 0 // .. ==> 0XF800079C[4:3] = 0x00000000U // .. ==> MASK : 0x00000018U VAL : 0x00000000U - // .. L3_SEL = 0 - // .. ==> 0XF800079C[7:5] = 0x00000000U - // .. ==> MASK : 0x000000E0U VAL : 0x00000000U + // .. L3_SEL = 2 + // .. ==> 0XF800079C[7:5] = 0x00000002U + // .. ==> MASK : 0x000000E0U VAL : 0x00000040U // .. Speed = 0 // .. ==> 0XF800079C[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF800079C[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF800079C[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF800079C[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11592,7 +11592,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF800079C[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF800079C, 0x00003FFFU ,0x00001240U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11611,9 +11611,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007A0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11621,7 +11621,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007A0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11640,9 +11640,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007A4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11650,7 +11650,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007A4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007A8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11669,9 +11669,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007A8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007A8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007A8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007A8[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11679,7 +11679,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007A8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007A8, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007AC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11698,9 +11698,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007AC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007AC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007AC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007AC[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11708,7 +11708,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007AC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007AC, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11727,9 +11727,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007B0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11737,7 +11737,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007B0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007B4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11756,9 +11756,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007B4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007B4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11766,16 +11766,16 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007B4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007B4, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007B8[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007B8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007B8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007B8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007B8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11783,16 +11783,16 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007B8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007B8, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007BC[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U // .. Speed = 0 // .. ==> 0XF80007BC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007BC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007BC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007BC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11800,7 +11800,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007BC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001601U), + EMIT_MASKWRITE(0XF80007BC, 0x00003F01U ,0x00001201U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11819,9 +11819,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007C0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11829,7 +11829,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007C0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000006E0U), + EMIT_MASKWRITE(0XF80007C0, 0x00003FFFU ,0x000002E0U), // .. TRI_ENABLE = 1 // .. ==> 0XF80007C4[0:0] = 0x00000001U // .. ==> MASK : 0x00000001U VAL : 0x00000001U @@ -11848,9 +11848,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007C4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007C4[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11858,7 +11858,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007C4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000006E1U), + EMIT_MASKWRITE(0XF80007C4, 0x00003FFFU ,0x000002E1U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007C8[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11877,9 +11877,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007C8[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007C8[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007C8[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007C8[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11887,7 +11887,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007C8[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007C8, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007CC[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11906,9 +11906,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007CC[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007CC[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007CC[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007CC[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11916,7 +11916,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007CC[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001600U), + EMIT_MASKWRITE(0XF80007CC, 0x00003FFFU ,0x00001200U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D0[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11935,9 +11935,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007D0[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D0[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D0[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 0 // .. ==> 0XF80007D0[12:12] = 0x00000000U // .. ==> MASK : 0x00001000U VAL : 0x00000000U @@ -11945,7 +11945,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007D0[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000680U), + EMIT_MASKWRITE(0XF80007D0, 0x00003FFFU ,0x00000280U), // .. TRI_ENABLE = 0 // .. ==> 0XF80007D4[0:0] = 0x00000000U // .. ==> MASK : 0x00000001U VAL : 0x00000000U @@ -11964,9 +11964,9 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. Speed = 0 // .. ==> 0XF80007D4[8:8] = 0x00000000U // .. ==> MASK : 0x00000100U VAL : 0x00000000U - // .. IO_Type = 3 - // .. ==> 0XF80007D4[11:9] = 0x00000003U - // .. ==> MASK : 0x00000E00U VAL : 0x00000600U + // .. IO_Type = 1 + // .. ==> 0XF80007D4[11:9] = 0x00000001U + // .. ==> MASK : 0x00000E00U VAL : 0x00000200U // .. PULLUP = 1 // .. ==> 0XF80007D4[12:12] = 0x00000001U // .. ==> MASK : 0x00001000U VAL : 0x00001000U @@ -11974,7 +11974,7 @@ unsigned long ps7_mio_init_data_1_0[] = { // .. ==> 0XF80007D4[13:13] = 0x00000000U // .. ==> MASK : 0x00002000U VAL : 0x00000000U // .. - EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001680U), + EMIT_MASKWRITE(0XF80007D4, 0x00003FFFU ,0x00001280U), // .. SDIO0_WP_SEL = 47 // .. ==> 0XF8000830[5:0] = 0x0000002FU // .. ==> MASK : 0x0000003FU VAL : 0x0000002FU diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_parameters.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_parameters.xml index 82fefa0e44c2650d3bfa3ebb69f020400bb7fd67..b09a9a6d044fb6664410ae3e76f97cbfc916baa2 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_parameters.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/ps7_parameters.xml @@ -107,14 +107,14 @@ <PARAMETER NAME="PCW_GPIO_PERIPHERAL_ENABLE" VALUE="0" /> <PARAMETER NAME="PCW_I2C0_GRP_INT_ENABLE" VALUE="0" /> <PARAMETER NAME="PCW_I2C0_GRP_INT_IO" VALUE="" /> - <PARAMETER NAME="PCW_I2C0_I2C0_IO" VALUE="MIO 30 .. 31" /> + <PARAMETER NAME="PCW_I2C0_I2C0_IO" VALUE="MIO 38 .. 39" /> <PARAMETER NAME="PCW_I2C0_PERIPHERAL_ENABLE" VALUE="1" /> <PARAMETER NAME="PCW_I2C0_RESET_ENABLE" VALUE="0" /> <PARAMETER NAME="PCW_I2C0_RESET_IO" VALUE="" /> - <PARAMETER NAME="PCW_I2C1_GRP_INT_ENABLE" VALUE="" /> + <PARAMETER NAME="PCW_I2C1_GRP_INT_ENABLE" VALUE="0" /> <PARAMETER NAME="PCW_I2C1_GRP_INT_IO" VALUE="" /> - <PARAMETER NAME="PCW_I2C1_I2C1_IO" VALUE="" /> - <PARAMETER NAME="PCW_I2C1_PERIPHERAL_ENABLE" VALUE="0" /> + <PARAMETER NAME="PCW_I2C1_I2C1_IO" VALUE="MIO 28 .. 29" /> + <PARAMETER NAME="PCW_I2C1_PERIPHERAL_ENABLE" VALUE="1" /> <PARAMETER NAME="PCW_I2C1_RESET_ENABLE" VALUE="" /> <PARAMETER NAME="PCW_I2C1_RESET_IO" VALUE="" /> <PARAMETER NAME="PCW_I2C_RESET_ENABLE" VALUE="1" /> @@ -152,19 +152,19 @@ <PARAMETER NAME="PCW_MIO_15_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_15_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_16_DIRECTION" VALUE="out" /> - <PARAMETER NAME="PCW_MIO_16_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_16_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_16_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_16_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_17_DIRECTION" VALUE="out" /> - <PARAMETER NAME="PCW_MIO_17_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_17_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_17_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_17_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_18_DIRECTION" VALUE="out" /> - <PARAMETER NAME="PCW_MIO_18_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_18_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_18_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_18_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_19_DIRECTION" VALUE="out" /> - <PARAMETER NAME="PCW_MIO_19_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_19_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_19_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_19_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_1_DIRECTION" VALUE="out" /> @@ -172,43 +172,43 @@ <PARAMETER NAME="PCW_MIO_1_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_1_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_20_DIRECTION" VALUE="out" /> - <PARAMETER NAME="PCW_MIO_20_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_20_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_20_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_20_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_21_DIRECTION" VALUE="out" /> - <PARAMETER NAME="PCW_MIO_21_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_21_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_21_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_21_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_22_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_22_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_22_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_22_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_22_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_23_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_23_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_23_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_23_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_23_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_24_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_24_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_24_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_24_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_24_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_25_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_25_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_25_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_25_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_25_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_26_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_26_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_26_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_26_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_26_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_27_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_27_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_27_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_27_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_27_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_28_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_28_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_28_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_28_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_28_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_29_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_29_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_29_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_29_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_29_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_2_DIRECTION" VALUE="inout" /> @@ -216,43 +216,43 @@ <PARAMETER NAME="PCW_MIO_2_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_2_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_30_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_30_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_30_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_30_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_30_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_31_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_31_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_31_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_31_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_31_SLEW" VALUE="fast" /> <PARAMETER NAME="PCW_MIO_32_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_32_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_32_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_32_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_32_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_33_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_33_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_33_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_33_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_33_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_34_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_34_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_34_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_34_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_34_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_35_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_35_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_35_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_35_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_35_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_36_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_36_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_36_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_36_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_36_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_37_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_37_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_37_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_37_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_37_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_38_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_38_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_38_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_38_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_38_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_39_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_39_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_39_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_39_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_39_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_3_DIRECTION" VALUE="inout" /> @@ -260,43 +260,43 @@ <PARAMETER NAME="PCW_MIO_3_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_3_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_40_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_40_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_40_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_40_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_40_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_41_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_41_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_41_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_41_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_41_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_42_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_42_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_42_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_42_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_42_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_43_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_43_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_43_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_43_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_43_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_44_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_44_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_44_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_44_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_44_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_45_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_45_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_45_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_45_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_45_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_46_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_46_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_46_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_46_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_46_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_47_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_47_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_47_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_47_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_47_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_48_DIRECTION" VALUE="out" /> - <PARAMETER NAME="PCW_MIO_48_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_48_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_48_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_48_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_49_DIRECTION" VALUE="in" /> - <PARAMETER NAME="PCW_MIO_49_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_49_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_49_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_49_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_4_DIRECTION" VALUE="inout" /> @@ -304,19 +304,19 @@ <PARAMETER NAME="PCW_MIO_4_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_4_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_50_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_50_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_50_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_50_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_50_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_51_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_51_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_51_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_51_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_51_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_52_DIRECTION" VALUE="out" /> - <PARAMETER NAME="PCW_MIO_52_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_52_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_52_PULLUP" VALUE="disabled" /> <PARAMETER NAME="PCW_MIO_52_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_53_DIRECTION" VALUE="inout" /> - <PARAMETER NAME="PCW_MIO_53_IOTYPE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_MIO_53_IOTYPE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_MIO_53_PULLUP" VALUE="enabled" /> <PARAMETER NAME="PCW_MIO_53_SLEW" VALUE="slow" /> <PARAMETER NAME="PCW_MIO_5_DIRECTION" VALUE="inout" /> @@ -400,7 +400,7 @@ <PARAMETER NAME="PCW_PJTAG_PJTAG_IO" VALUE="" /> <PARAMETER NAME="PCW_PLL_BYPASSMODE_ENABLE" VALUE="0" /> <PARAMETER NAME="PCW_PRESET_BANK0_VOLTAGE" VALUE="LVCMOS 3.3V" /> - <PARAMETER NAME="PCW_PRESET_BANK1_VOLTAGE" VALUE="LVCMOS 3.3V" /> + <PARAMETER NAME="PCW_PRESET_BANK1_VOLTAGE" VALUE="LVCMOS 1.8V" /> <PARAMETER NAME="PCW_QSPI_GRP_FBCLK_ENABLE" VALUE="1" /> <PARAMETER NAME="PCW_QSPI_GRP_FBCLK_IO" VALUE="MIO 8" /> <PARAMETER NAME="PCW_QSPI_GRP_IO1_ENABLE" VALUE="1" /> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.dcp index 0c69e57d59e10b833fc38e9024a57e61e356d251..b95d350eec91719e3cc841602562050184b5dc5e 100644 Binary files a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.dcp and b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.dcp differ diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xci index d3b69b2d50b888cb2745c84cacfea49c08a4ae5f..41e6c5d7282f6a55b3225f18201858f4dc53c5ab 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xci +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xci @@ -351,7 +351,7 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_EN_ENET1">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_EN_GPIO">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_EN_I2C0">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_EN_I2C1">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_EN_I2C1">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_EN_MODEM_UART0">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_EN_MODEM_UART1">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_EN_PJTAG">0</spirit:configurableElementValue> @@ -419,7 +419,7 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C0_GRP_INT_ENABLE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C0_GRP_INT_IO"><Select></spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C0_HIGHADDR">0xE0004FFF</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C0_I2C0_IO">MIO 30 .. 31</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C0_I2C0_IO">MIO 38 .. 39</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C0_PERIPHERAL_ENABLE">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C0_RESET_ENABLE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C0_RESET_IO"><Select></spirit:configurableElementValue> @@ -427,8 +427,8 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_GRP_INT_ENABLE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_GRP_INT_IO"><Select></spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_HIGHADDR">0xE0005FFF</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_I2C1_IO"><Select></spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_PERIPHERAL_ENABLE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_I2C1_IO">MIO 28 .. 29</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_PERIPHERAL_ENABLE">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_RESET_ENABLE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C1_RESET_IO"><Select></spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_I2C_PERIPHERAL_FREQMHZ">111.111115</spirit:configurableElementValue> @@ -471,19 +471,19 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_15_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_15_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_16_DIRECTION">out</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_16_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_16_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_16_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_16_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_17_DIRECTION">out</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_17_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_17_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_17_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_17_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_18_DIRECTION">out</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_18_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_18_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_18_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_18_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_19_DIRECTION">out</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_19_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_19_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_19_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_19_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_1_DIRECTION">out</spirit:configurableElementValue> @@ -491,43 +491,43 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_1_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_1_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_20_DIRECTION">out</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_20_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_20_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_20_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_20_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_21_DIRECTION">out</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_21_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_21_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_21_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_21_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_22_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_22_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_22_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_22_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_22_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_23_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_23_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_23_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_23_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_23_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_24_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_24_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_24_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_24_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_24_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_25_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_25_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_25_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_25_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_25_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_26_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_26_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_26_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_26_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_26_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_27_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_27_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_27_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_27_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_27_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_28_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_28_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_28_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_28_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_28_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_29_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_29_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_29_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_29_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_29_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_2_DIRECTION">inout</spirit:configurableElementValue> @@ -535,43 +535,43 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_2_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_2_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_30_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_30_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_30_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_30_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_30_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_31_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_31_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_31_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_31_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_31_SLEW">fast</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_32_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_32_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_32_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_32_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_32_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_33_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_33_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_33_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_33_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_33_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_34_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_34_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_34_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_34_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_34_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_35_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_35_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_35_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_35_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_35_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_36_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_36_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_36_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_36_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_36_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_37_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_37_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_37_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_37_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_37_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_38_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_38_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_38_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_38_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_38_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_39_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_39_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_39_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_39_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_39_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_3_DIRECTION">inout</spirit:configurableElementValue> @@ -579,43 +579,43 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_3_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_3_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_40_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_40_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_40_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_40_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_40_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_41_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_41_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_41_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_41_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_41_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_42_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_42_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_42_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_42_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_42_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_43_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_43_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_43_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_43_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_43_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_44_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_44_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_44_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_44_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_44_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_45_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_45_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_45_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_45_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_45_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_46_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_46_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_46_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_46_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_46_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_47_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_47_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_47_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_47_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_47_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_48_DIRECTION">out</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_48_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_48_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_48_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_48_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_49_DIRECTION">in</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_49_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_49_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_49_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_49_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_4_DIRECTION">inout</spirit:configurableElementValue> @@ -623,19 +623,19 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_4_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_4_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_50_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_50_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_50_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_50_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_50_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_51_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_51_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_51_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_51_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_51_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_52_DIRECTION">out</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_52_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_52_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_52_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_52_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_53_DIRECTION">inout</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_53_IOTYPE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_53_IOTYPE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_53_PULLUP">enabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_53_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_5_DIRECTION">inout</spirit:configurableElementValue> @@ -659,8 +659,8 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_9_PULLUP">disabled</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_9_SLEW">slow</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_PRIMITIVE">54</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_TREE_PERIPHERALS">Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#GPIO#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#GPIO#GPIO#I2C 0#I2C 0#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_TREE_SIGNALS">qspi1_ss_b#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]#qspi0_sclk#gpio[7]#qspi_fbclk#qspi1_sclk#qspi1_io[0]#qspi1_io[1]#qspi1_io[2]#qspi1_io[3]#gpio[14]#gpio[15]#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#gpio[28]#gpio[29]#scl#sda#gpio[32]#gpio[33]#gpio[34]#gpio[35]#gpio[36]#gpio[37]#gpio[38]#gpio[39]#clk#cmd#data[0]#data[1]#data[2]#data[3]#cd#wp#tx#rx#gpio[50]#gpio[51]#mdc#mdio</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_TREE_PERIPHERALS">Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#GPIO#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#I2C 1#I2C 1#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#I2C 0#I2C 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_MIO_TREE_SIGNALS">qspi1_ss_b#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]#qspi0_sclk#gpio[7]#qspi_fbclk#qspi1_sclk#qspi1_io[0]#qspi1_io[1]#qspi1_io[2]#qspi1_io[3]#gpio[14]#gpio[15]#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#scl#sda#gpio[30]#gpio[31]#gpio[32]#gpio[33]#gpio[34]#gpio[35]#gpio[36]#gpio[37]#scl#sda#clk#cmd#data[0]#data[1]#data[2]#data[3]#cd#wp#tx#rx#gpio[50]#gpio[51]#mdc#mdio</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_M_AXI_GP0_ENABLE_STATIC_REMAP">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_M_AXI_GP0_FREQMHZ">100</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_M_AXI_GP0_ID_WIDTH">12</spirit:configurableElementValue> @@ -770,7 +770,7 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_PJTAG_PJTAG_IO"><Select></spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_PLL_BYPASSMODE_ENABLE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_PRESET_BANK0_VOLTAGE">LVCMOS 3.3V</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_PRESET_BANK1_VOLTAGE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_PRESET_BANK1_VOLTAGE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_PS7_SI_REV">PRODUCTION</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_QSPI_GRP_FBCLK_ENABLE">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PCW_QSPI_GRP_FBCLK_IO">MIO 8</spirit:configurableElementValue> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xdc b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xdc index cea4fbdc921b509164c172feb02d3ec44bac4f3b..172624453bc271da0f20d65b147208912f69466d 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xdc +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xdc @@ -17,12 +17,12 @@ ############################################################################ # Clock constraints # ############################################################################ -create_clock -name clk_fpga_0 -period "10" [get_pins "PS7_i/FCLKCLK[0]"] -set_input_jitter clk_fpga_0 0.3 -#The clocks are asynchronous, user should constrain them appropriately.# create_clock -name clk_fpga_1 -period "100" [get_pins "PS7_i/FCLKCLK[1]"] set_input_jitter clk_fpga_1 3 #The clocks are asynchronous, user should constrain them appropriately.# +create_clock -name clk_fpga_0 -period "10" [get_pins "PS7_i/FCLKCLK[0]"] +set_input_jitter clk_fpga_0 0.3 +#The clocks are asynchronous, user should constrain them appropriately.# ############################################################################ @@ -30,246 +30,246 @@ set_input_jitter clk_fpga_1 3 ############################################################################ # Enet 0 / mdio / MIO[53] -set_property iostandard "LVCMOS33" [get_ports "MIO[53]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[53]"] set_property PACKAGE_PIN "A19" [get_ports "MIO[53]"] set_property slew "slow" [get_ports "MIO[53]"] set_property drive "8" [get_ports "MIO[53]"] set_property pullup "TRUE" [get_ports "MIO[53]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[53]"] # Enet 0 / mdc / MIO[52] -set_property iostandard "LVCMOS33" [get_ports "MIO[52]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[52]"] set_property PACKAGE_PIN "A20" [get_ports "MIO[52]"] set_property slew "slow" [get_ports "MIO[52]"] set_property drive "8" [get_ports "MIO[52]"] set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[52]"] # GPIO / gpio[51] / MIO[51] -set_property iostandard "LVCMOS33" [get_ports "MIO[51]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[51]"] set_property PACKAGE_PIN "B20" [get_ports "MIO[51]"] set_property slew "slow" [get_ports "MIO[51]"] set_property drive "8" [get_ports "MIO[51]"] set_property pullup "TRUE" [get_ports "MIO[51]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[51]"] # GPIO / gpio[50] / MIO[50] -set_property iostandard "LVCMOS33" [get_ports "MIO[50]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[50]"] set_property PACKAGE_PIN "B22" [get_ports "MIO[50]"] set_property slew "slow" [get_ports "MIO[50]"] set_property drive "8" [get_ports "MIO[50]"] set_property pullup "TRUE" [get_ports "MIO[50]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[50]"] # UART 1 / rx / MIO[49] -set_property iostandard "LVCMOS33" [get_ports "MIO[49]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[49]"] set_property PACKAGE_PIN "A18" [get_ports "MIO[49]"] set_property slew "slow" [get_ports "MIO[49]"] set_property drive "8" [get_ports "MIO[49]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[49]"] # UART 1 / tx / MIO[48] -set_property iostandard "LVCMOS33" [get_ports "MIO[48]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[48]"] set_property PACKAGE_PIN "B21" [get_ports "MIO[48]"] set_property slew "slow" [get_ports "MIO[48]"] set_property drive "8" [get_ports "MIO[48]"] set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[48]"] # SD 0 / wp / MIO[47] -set_property iostandard "LVCMOS33" [get_ports "MIO[47]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[47]"] set_property PACKAGE_PIN "B19" [get_ports "MIO[47]"] set_property slew "slow" [get_ports "MIO[47]"] set_property drive "8" [get_ports "MIO[47]"] set_property pullup "TRUE" [get_ports "MIO[47]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[47]"] # SD 0 / cd / MIO[46] -set_property iostandard "LVCMOS33" [get_ports "MIO[46]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[46]"] set_property PACKAGE_PIN "E17" [get_ports "MIO[46]"] set_property slew "slow" [get_ports "MIO[46]"] set_property drive "8" [get_ports "MIO[46]"] set_property pullup "TRUE" [get_ports "MIO[46]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[46]"] # SD 0 / data[3] / MIO[45] -set_property iostandard "LVCMOS33" [get_ports "MIO[45]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[45]"] set_property PACKAGE_PIN "C18" [get_ports "MIO[45]"] set_property slew "slow" [get_ports "MIO[45]"] set_property drive "8" [get_ports "MIO[45]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[45]"] # SD 0 / data[2] / MIO[44] -set_property iostandard "LVCMOS33" [get_ports "MIO[44]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[44]"] set_property PACKAGE_PIN "E18" [get_ports "MIO[44]"] set_property slew "slow" [get_ports "MIO[44]"] set_property drive "8" [get_ports "MIO[44]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[44]"] # SD 0 / data[1] / MIO[43] -set_property iostandard "LVCMOS33" [get_ports "MIO[43]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[43]"] set_property PACKAGE_PIN "D18" [get_ports "MIO[43]"] set_property slew "slow" [get_ports "MIO[43]"] set_property drive "8" [get_ports "MIO[43]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[43]"] # SD 0 / data[0] / MIO[42] -set_property iostandard "LVCMOS33" [get_ports "MIO[42]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[42]"] set_property PACKAGE_PIN "F17" [get_ports "MIO[42]"] set_property slew "slow" [get_ports "MIO[42]"] set_property drive "8" [get_ports "MIO[42]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[42]"] # SD 0 / cmd / MIO[41] -set_property iostandard "LVCMOS33" [get_ports "MIO[41]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[41]"] set_property PACKAGE_PIN "C19" [get_ports "MIO[41]"] set_property slew "slow" [get_ports "MIO[41]"] set_property drive "8" [get_ports "MIO[41]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[41]"] # SD 0 / clk / MIO[40] -set_property iostandard "LVCMOS33" [get_ports "MIO[40]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[40]"] set_property PACKAGE_PIN "C22" [get_ports "MIO[40]"] set_property slew "slow" [get_ports "MIO[40]"] set_property drive "8" [get_ports "MIO[40]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[40]"] -# GPIO / gpio[39] / MIO[39] -set_property iostandard "LVCMOS33" [get_ports "MIO[39]"] +# I2C 0 / sda / MIO[39] +set_property iostandard "LVCMOS18" [get_ports "MIO[39]"] set_property PACKAGE_PIN "C21" [get_ports "MIO[39]"] set_property slew "slow" [get_ports "MIO[39]"] set_property drive "8" [get_ports "MIO[39]"] set_property pullup "TRUE" [get_ports "MIO[39]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[39]"] -# GPIO / gpio[38] / MIO[38] -set_property iostandard "LVCMOS33" [get_ports "MIO[38]"] +# I2C 0 / scl / MIO[38] +set_property iostandard "LVCMOS18" [get_ports "MIO[38]"] set_property PACKAGE_PIN "D21" [get_ports "MIO[38]"] set_property slew "slow" [get_ports "MIO[38]"] set_property drive "8" [get_ports "MIO[38]"] set_property pullup "TRUE" [get_ports "MIO[38]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[38]"] # GPIO / gpio[37] / MIO[37] -set_property iostandard "LVCMOS33" [get_ports "MIO[37]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[37]"] set_property PACKAGE_PIN "D20" [get_ports "MIO[37]"] set_property slew "slow" [get_ports "MIO[37]"] set_property drive "8" [get_ports "MIO[37]"] set_property pullup "TRUE" [get_ports "MIO[37]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[37]"] # GPIO / gpio[36] / MIO[36] -set_property iostandard "LVCMOS33" [get_ports "MIO[36]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[36]"] set_property PACKAGE_PIN "K16" [get_ports "MIO[36]"] set_property slew "slow" [get_ports "MIO[36]"] set_property drive "8" [get_ports "MIO[36]"] set_property pullup "TRUE" [get_ports "MIO[36]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[36]"] # GPIO / gpio[35] / MIO[35] -set_property iostandard "LVCMOS33" [get_ports "MIO[35]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[35]"] set_property PACKAGE_PIN "D19" [get_ports "MIO[35]"] set_property slew "slow" [get_ports "MIO[35]"] set_property drive "8" [get_ports "MIO[35]"] set_property pullup "TRUE" [get_ports "MIO[35]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[35]"] # GPIO / gpio[34] / MIO[34] -set_property iostandard "LVCMOS33" [get_ports "MIO[34]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[34]"] set_property PACKAGE_PIN "J16" [get_ports "MIO[34]"] set_property slew "slow" [get_ports "MIO[34]"] set_property drive "8" [get_ports "MIO[34]"] set_property pullup "TRUE" [get_ports "MIO[34]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[34]"] # GPIO / gpio[33] / MIO[33] -set_property iostandard "LVCMOS33" [get_ports "MIO[33]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[33]"] set_property PACKAGE_PIN "E22" [get_ports "MIO[33]"] set_property slew "slow" [get_ports "MIO[33]"] set_property drive "8" [get_ports "MIO[33]"] set_property pullup "TRUE" [get_ports "MIO[33]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[33]"] # GPIO / gpio[32] / MIO[32] -set_property iostandard "LVCMOS33" [get_ports "MIO[32]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[32]"] set_property PACKAGE_PIN "K17" [get_ports "MIO[32]"] set_property slew "slow" [get_ports "MIO[32]"] set_property drive "8" [get_ports "MIO[32]"] set_property pullup "TRUE" [get_ports "MIO[32]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[32]"] -# I2C 0 / sda / MIO[31] -set_property iostandard "LVCMOS33" [get_ports "MIO[31]"] +# GPIO / gpio[31] / MIO[31] +set_property iostandard "LVCMOS18" [get_ports "MIO[31]"] set_property PACKAGE_PIN "E21" [get_ports "MIO[31]"] set_property slew "fast" [get_ports "MIO[31]"] set_property drive "8" [get_ports "MIO[31]"] set_property pullup "TRUE" [get_ports "MIO[31]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[31]"] -# I2C 0 / scl / MIO[30] -set_property iostandard "LVCMOS33" [get_ports "MIO[30]"] +# GPIO / gpio[30] / MIO[30] +set_property iostandard "LVCMOS18" [get_ports "MIO[30]"] set_property PACKAGE_PIN "K19" [get_ports "MIO[30]"] set_property slew "fast" [get_ports "MIO[30]"] set_property drive "8" [get_ports "MIO[30]"] set_property pullup "TRUE" [get_ports "MIO[30]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[30]"] -# GPIO / gpio[29] / MIO[29] -set_property iostandard "LVCMOS33" [get_ports "MIO[29]"] +# I2C 1 / sda / MIO[29] +set_property iostandard "LVCMOS18" [get_ports "MIO[29]"] set_property PACKAGE_PIN "E20" [get_ports "MIO[29]"] set_property slew "slow" [get_ports "MIO[29]"] set_property drive "8" [get_ports "MIO[29]"] set_property pullup "TRUE" [get_ports "MIO[29]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[29]"] -# GPIO / gpio[28] / MIO[28] -set_property iostandard "LVCMOS33" [get_ports "MIO[28]"] +# I2C 1 / scl / MIO[28] +set_property iostandard "LVCMOS18" [get_ports "MIO[28]"] set_property PACKAGE_PIN "J18" [get_ports "MIO[28]"] set_property slew "slow" [get_ports "MIO[28]"] set_property drive "8" [get_ports "MIO[28]"] set_property pullup "TRUE" [get_ports "MIO[28]"] set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[28]"] # Enet 0 / rx_ctl / MIO[27] -set_property iostandard "LVCMOS33" [get_ports "MIO[27]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[27]"] set_property PACKAGE_PIN "F18" [get_ports "MIO[27]"] set_property slew "fast" [get_ports "MIO[27]"] set_property drive "8" [get_ports "MIO[27]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[27]"] # Enet 0 / rxd[3] / MIO[26] -set_property iostandard "LVCMOS33" [get_ports "MIO[26]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[26]"] set_property PACKAGE_PIN "H17" [get_ports "MIO[26]"] set_property slew "fast" [get_ports "MIO[26]"] set_property drive "8" [get_ports "MIO[26]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[26]"] # Enet 0 / rxd[2] / MIO[25] -set_property iostandard "LVCMOS33" [get_ports "MIO[25]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[25]"] set_property PACKAGE_PIN "F19" [get_ports "MIO[25]"] set_property slew "fast" [get_ports "MIO[25]"] set_property drive "8" [get_ports "MIO[25]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[25]"] # Enet 0 / rxd[1] / MIO[24] -set_property iostandard "LVCMOS33" [get_ports "MIO[24]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[24]"] set_property PACKAGE_PIN "J19" [get_ports "MIO[24]"] set_property slew "fast" [get_ports "MIO[24]"] set_property drive "8" [get_ports "MIO[24]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[24]"] # Enet 0 / rxd[0] / MIO[23] -set_property iostandard "LVCMOS33" [get_ports "MIO[23]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[23]"] set_property PACKAGE_PIN "F20" [get_ports "MIO[23]"] set_property slew "fast" [get_ports "MIO[23]"] set_property drive "8" [get_ports "MIO[23]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[23]"] # Enet 0 / rx_clk / MIO[22] -set_property iostandard "LVCMOS33" [get_ports "MIO[22]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[22]"] set_property PACKAGE_PIN "G22" [get_ports "MIO[22]"] set_property slew "fast" [get_ports "MIO[22]"] set_property drive "8" [get_ports "MIO[22]"] set_property PIO_DIRECTION "INPUT" [get_ports "MIO[22]"] # Enet 0 / tx_ctl / MIO[21] -set_property iostandard "LVCMOS33" [get_ports "MIO[21]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[21]"] set_property PACKAGE_PIN "F22" [get_ports "MIO[21]"] set_property slew "fast" [get_ports "MIO[21]"] set_property drive "8" [get_ports "MIO[21]"] set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[21]"] # Enet 0 / txd[3] / MIO[20] -set_property iostandard "LVCMOS33" [get_ports "MIO[20]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[20]"] set_property PACKAGE_PIN "H19" [get_ports "MIO[20]"] set_property slew "fast" [get_ports "MIO[20]"] set_property drive "8" [get_ports "MIO[20]"] set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[20]"] # Enet 0 / txd[2] / MIO[19] -set_property iostandard "LVCMOS33" [get_ports "MIO[19]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[19]"] set_property PACKAGE_PIN "G19" [get_ports "MIO[19]"] set_property slew "fast" [get_ports "MIO[19]"] set_property drive "8" [get_ports "MIO[19]"] set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[19]"] # Enet 0 / txd[1] / MIO[18] -set_property iostandard "LVCMOS33" [get_ports "MIO[18]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[18]"] set_property PACKAGE_PIN "G20" [get_ports "MIO[18]"] set_property slew "fast" [get_ports "MIO[18]"] set_property drive "8" [get_ports "MIO[18]"] set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[18]"] # Enet 0 / txd[0] / MIO[17] -set_property iostandard "LVCMOS33" [get_ports "MIO[17]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[17]"] set_property PACKAGE_PIN "G17" [get_ports "MIO[17]"] set_property slew "fast" [get_ports "MIO[17]"] set_property drive "8" [get_ports "MIO[17]"] set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[17]"] # Enet 0 / tx_clk / MIO[16] -set_property iostandard "LVCMOS33" [get_ports "MIO[16]"] +set_property iostandard "LVCMOS18" [get_ports "MIO[16]"] set_property PACKAGE_PIN "G21" [get_ports "MIO[16]"] set_property slew "fast" [get_ports "MIO[16]"] set_property drive "8" [get_ports "MIO[16]"] @@ -668,7 +668,7 @@ set_property iostandard "LVCMOS33" [get_ports "PS_PORB"] set_property PACKAGE_PIN "C23" [get_ports "PS_PORB"] set_property slew "slow" [get_ports "PS_PORB"] set_property drive "8" [get_ports "PS_PORB"] -set_property iostandard "LVCMOS33" [get_ports "PS_SRSTB"] +set_property iostandard "LVCMOS18" [get_ports "PS_SRSTB"] set_property PACKAGE_PIN "A22" [get_ports "PS_SRSTB"] set_property slew "slow" [get_ports "PS_SRSTB"] set_property drive "8" [get_ports "PS_SRSTB"] diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xml index 245868bbc4aa5c543de4db42a0d2e57ca4de099d..1811baf60dc978cac1f4a083cb0a807c71817294 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xml @@ -10243,7 +10243,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Apr 13 07:58:14 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:25 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -10255,7 +10255,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>8ea4bee3</spirit:value> + <spirit:value>1c5b2e74</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -10274,7 +10274,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Apr 13 07:58:15 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:25 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -10286,7 +10286,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>8ea4bee3</spirit:value> + <spirit:value>1c5b2e74</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -10312,7 +10312,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>dc153a2d</spirit:value> + <spirit:value>4e95de7c</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -10330,7 +10330,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Apr 13 07:58:15 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:25 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -10342,7 +10342,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>dc153a2d</spirit:value> + <spirit:value>4e95de7c</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -10360,7 +10360,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Tue Jun 20 18:01:40 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:25:00 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -10372,7 +10372,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>8ea4bee3</spirit:value> + <spirit:value>1c5b2e74</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -26287,6 +26287,21 @@ <spirit:enumeration>HPR(24)/LPR(8)</spirit:enumeration> <spirit:enumeration>HPR(32)/LPR(0)</spirit:enumeration> </spirit:choice> + <spirit:choice> + <spirit:name>choice_list_239baa1b</spirit:name> + <spirit:enumeration>EMIO</spirit:enumeration> + <spirit:enumeration>MIO 12 .. 13</spirit:enumeration> + <spirit:enumeration>MIO 16 .. 17</spirit:enumeration> + <spirit:enumeration>MIO 20 .. 21</spirit:enumeration> + <spirit:enumeration>MIO 24 .. 25</spirit:enumeration> + <spirit:enumeration>MIO 28 .. 29</spirit:enumeration> + <spirit:enumeration>MIO 32 .. 33</spirit:enumeration> + <spirit:enumeration>MIO 36 .. 37</spirit:enumeration> + <spirit:enumeration>MIO 40 .. 41</spirit:enumeration> + <spirit:enumeration>MIO 44 .. 45</spirit:enumeration> + <spirit:enumeration>MIO 48 .. 49</spirit:enumeration> + <spirit:enumeration>MIO 52 .. 53</spirit:enumeration> + </spirit:choice> <spirit:choice> <spirit:name>choice_list_27376075</spirit:name> <spirit:enumeration>12</spirit:enumeration> @@ -26337,6 +26352,11 @@ <spirit:name>choice_list_3607bdd0</spirit:name> <spirit:enumeration>0xE0102fff</spirit:enumeration> </spirit:choice> + <spirit:choice> + <spirit:name>choice_list_369f74cf</spirit:name> + <spirit:enumeration>LVCMOS 1.8V</spirit:enumeration> + <spirit:enumeration>HSTL 1.8V</spirit:enumeration> + </spirit:choice> <spirit:choice> <spirit:name>choice_list_3740015d</spirit:name> <spirit:enumeration>0xE0103fff</spirit:enumeration> @@ -26519,6 +26539,11 @@ <spirit:enumeration>1</spirit:enumeration> <spirit:enumeration>0</spirit:enumeration> </spirit:choice> + <spirit:choice> + <spirit:name>choice_list_6885bca1</spirit:name> + <spirit:enumeration>Share reset pin</spirit:enumeration> + <spirit:enumeration>Separate reset pins</spirit:enumeration> + </spirit:choice> <spirit:choice> <spirit:name>choice_list_6a282484</spirit:name> <spirit:enumeration><Select></spirit:enumeration> @@ -26731,22 +26756,6 @@ <spirit:enumeration>EMIO</spirit:enumeration> <spirit:enumeration>MIO 16 .. 27</spirit:enumeration> </spirit:choice> - <spirit:choice> - <spirit:name>choice_list_88a617f1</spirit:name> - <spirit:enumeration><Select></spirit:enumeration> - <spirit:enumeration>EMIO</spirit:enumeration> - <spirit:enumeration>MIO 12 .. 13</spirit:enumeration> - <spirit:enumeration>MIO 16 .. 17</spirit:enumeration> - <spirit:enumeration>MIO 20 .. 21</spirit:enumeration> - <spirit:enumeration>MIO 24 .. 25</spirit:enumeration> - <spirit:enumeration>MIO 28 .. 29</spirit:enumeration> - <spirit:enumeration>MIO 32 .. 33</spirit:enumeration> - <spirit:enumeration>MIO 36 .. 37</spirit:enumeration> - <spirit:enumeration>MIO 40 .. 41</spirit:enumeration> - <spirit:enumeration>MIO 44 .. 45</spirit:enumeration> - <spirit:enumeration>MIO 48 .. 49</spirit:enumeration> - <spirit:enumeration>MIO 52 .. 53</spirit:enumeration> - </spirit:choice> <spirit:choice> <spirit:name>choice_list_88fe7673</spirit:name> <spirit:enumeration>0xE0000FFF</spirit:enumeration> @@ -27823,7 +27832,7 @@ <spirit:vendorExtensions> <xilinx:parameterInfo> <xilinx:enablement> - <xilinx:isEnabled xilinx:resolve="dependent" xilinx:dependency="(spirit:decode(id(PARAM_VALUE.PCW_EN_I2C1)) = 1) ">false</xilinx:isEnabled> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:dependency="(spirit:decode(id(PARAM_VALUE.PCW_EN_I2C1)) = 1) ">true</xilinx:isEnabled> </xilinx:enablement> </xilinx:parameterInfo> </spirit:vendorExtensions> @@ -27835,7 +27844,7 @@ <spirit:vendorExtensions> <xilinx:parameterInfo> <xilinx:enablement> - <xilinx:isEnabled xilinx:resolve="dependent" xilinx:dependency="(spirit:decode(id(PARAM_VALUE.PCW_EN_I2C1)) = 1) ">false</xilinx:isEnabled> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:dependency="(spirit:decode(id(PARAM_VALUE.PCW_EN_I2C1)) = 1) ">true</xilinx:isEnabled> </xilinx:enablement> </xilinx:parameterInfo> </spirit:vendorExtensions> @@ -30355,7 +30364,7 @@ <spirit:parameter> <spirit:name>PCW_EN_I2C1</spirit:name> <spirit:displayName>PCW EN I2C1</spirit:displayName> - <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_EN_I2C1" spirit:choiceRef="choice_list_8af5a703" spirit:order="8900">0</spirit:value> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_EN_I2C1" spirit:choiceRef="choice_list_8af5a703" spirit:order="8900">1</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_EN_PJTAG</spirit:name> @@ -30887,7 +30896,7 @@ <spirit:vendorExtensions> <xilinx:parameterInfo> <xilinx:enablement> - <xilinx:isEnabled xilinx:resolve="dependent" xilinx:dependency="((spirit:decode(id(PARAM_VALUE.PCW_USE_FABRIC_INTERRUPT)) = 1)&&(spirit:decode(id(PARAM_VALUE.PCW_EN_I2C1)) = 1))">false</xilinx:isEnabled> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:dependency="((spirit:decode(id(PARAM_VALUE.PCW_USE_FABRIC_INTERRUPT)) = 1)&&(spirit:decode(id(PARAM_VALUE.PCW_EN_I2C1)) = 1))">true</xilinx:isEnabled> </xilinx:enablement> </xilinx:parameterInfo> </spirit:vendorExtensions> @@ -31023,7 +31032,7 @@ <spirit:parameter> <spirit:name>PCW_PRESET_BANK1_VOLTAGE</spirit:name> <spirit:displayName>PCW PRESET BANK1 VOLTAGE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_PRESET_BANK1_VOLTAGE" spirit:choiceRef="choice_list_72f3e128" spirit:order="29300">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_PRESET_BANK1_VOLTAGE" spirit:choiceRef="choice_list_72f3e128" spirit:order="29300">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_UIPARAM_DDR_ENABLE</spirit:name> @@ -32443,7 +32452,7 @@ <spirit:parameter> <spirit:name>PCW_I2C0_I2C0_IO</spirit:name> <spirit:displayName>PCW I2C0 I2C0 IO</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C0_I2C0_IO" spirit:choiceRef="choice_list_f632ce2e" spirit:order="6900">MIO 30 .. 31</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C0_I2C0_IO" spirit:choiceRef="choice_list_f632ce2e" spirit:order="6900">MIO 38 .. 39</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_I2C0_GRP_INT_ENABLE</spirit:name> @@ -32472,30 +32481,16 @@ <spirit:parameter> <spirit:name>PCW_I2C1_PERIPHERAL_ENABLE</spirit:name> <spirit:displayName>PCW I2C1 PERIPHERAL ENABLE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C1_PERIPHERAL_ENABLE" spirit:choiceRef="choice_list_8af5a703" spirit:order="2100">0</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C1_PERIPHERAL_ENABLE" spirit:choiceRef="choice_list_8af5a703" spirit:order="2100">1</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_I2C1_I2C1_IO</spirit:name> <spirit:displayName>PCW I2C1 I2C1 IO</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C1_I2C1_IO" spirit:choiceRef="choice_list_88a617f1" spirit:order="7000"><Select></spirit:value> - <spirit:vendorExtensions> - <xilinx:parameterInfo> - <xilinx:enablement> - <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.PCW_I2C1_I2C1_IO">false</xilinx:isEnabled> - </xilinx:enablement> - </xilinx:parameterInfo> - </spirit:vendorExtensions> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C1_I2C1_IO" spirit:choiceRef="choice_list_239baa1b" spirit:order="7000">MIO 28 .. 29</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_I2C1_GRP_INT_ENABLE</spirit:name> <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C1_GRP_INT_ENABLE" spirit:choiceRef="choice_list_8af5a703" spirit:order="7001">0</spirit:value> - <spirit:vendorExtensions> - <xilinx:parameterInfo> - <xilinx:enablement> - <xilinx:isEnabled xilinx:id="PARAM_ENABLEMENT.PCW_I2C1_GRP_INT_ENABLE">false</xilinx:isEnabled> - </xilinx:enablement> - </xilinx:parameterInfo> - </spirit:vendorExtensions> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_I2C1_GRP_INT_IO</spirit:name> @@ -32515,7 +32510,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>PCW_I2C_RESET_SELECT</spirit:name> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C_RESET_SELECT" spirit:choiceRef="choice_list_ce2e47bd" spirit:order="6103">Share reset pin</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_I2C_RESET_SELECT" spirit:choiceRef="choice_list_6885bca1" spirit:order="6103">Share reset pin</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_I2C1_RESET_ENABLE</spirit:name> @@ -33226,7 +33221,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_16_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 16 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_16_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34816">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_16_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34816">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_16_DIRECTION</spirit:name> @@ -33253,7 +33248,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_17_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 17 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_17_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34817">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_17_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34817">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_17_DIRECTION</spirit:name> @@ -33280,7 +33275,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_18_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 18 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_18_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34818">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_18_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34818">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_18_DIRECTION</spirit:name> @@ -33307,7 +33302,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_19_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 19 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_19_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34819">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_19_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34819">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_19_DIRECTION</spirit:name> @@ -33334,7 +33329,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_20_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 20 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_20_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34820">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_20_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34820">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_20_DIRECTION</spirit:name> @@ -33361,7 +33356,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_21_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 21 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_21_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34821">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_21_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34821">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_21_DIRECTION</spirit:name> @@ -33388,7 +33383,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_22_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 22 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_22_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34822">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_22_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34822">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_22_DIRECTION</spirit:name> @@ -33415,7 +33410,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_23_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 23 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_23_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34823">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_23_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34823">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_23_DIRECTION</spirit:name> @@ -33442,7 +33437,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_24_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 24 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_24_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34824">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_24_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34824">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_24_DIRECTION</spirit:name> @@ -33469,7 +33464,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_25_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 25 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_25_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34825">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_25_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34825">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_25_DIRECTION</spirit:name> @@ -33496,7 +33491,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_26_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 26 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_26_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34826">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_26_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34826">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_26_DIRECTION</spirit:name> @@ -33523,7 +33518,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_27_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 27 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_27_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34827">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_27_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34827">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_27_DIRECTION</spirit:name> @@ -33550,7 +33545,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_28_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 28 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_28_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34828">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_28_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34828">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_28_DIRECTION</spirit:name> @@ -33577,7 +33572,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_29_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 29 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_29_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34829">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_29_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34829">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_29_DIRECTION</spirit:name> @@ -33604,7 +33599,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_30_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 30 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_30_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34830">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_30_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34830">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_30_DIRECTION</spirit:name> @@ -33631,7 +33626,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_31_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 31 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_31_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34831">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_31_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34831">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_31_DIRECTION</spirit:name> @@ -33658,7 +33653,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_32_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 32 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_32_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34832">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_32_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34832">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_32_DIRECTION</spirit:name> @@ -33685,7 +33680,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_33_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 33 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_33_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34833">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_33_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34833">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_33_DIRECTION</spirit:name> @@ -33712,7 +33707,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_34_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 34 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_34_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34834">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_34_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34834">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_34_DIRECTION</spirit:name> @@ -33739,7 +33734,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_35_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 35 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_35_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34835">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_35_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34835">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_35_DIRECTION</spirit:name> @@ -33766,7 +33761,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_36_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 36 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_36_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34836">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_36_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34836">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_36_DIRECTION</spirit:name> @@ -33793,7 +33788,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_37_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 37 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_37_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34837">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_37_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34837">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_37_DIRECTION</spirit:name> @@ -33820,7 +33815,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_38_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 38 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_38_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34838">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_38_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34838">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_38_DIRECTION</spirit:name> @@ -33847,7 +33842,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_39_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 39 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_39_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34839">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_39_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34839">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_39_DIRECTION</spirit:name> @@ -33874,7 +33869,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_40_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 40 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_40_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34840">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_40_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34840">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_40_DIRECTION</spirit:name> @@ -33901,7 +33896,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_41_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 41 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_41_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34841">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_41_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34841">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_41_DIRECTION</spirit:name> @@ -33928,7 +33923,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_42_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 42 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_42_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34842">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_42_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34842">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_42_DIRECTION</spirit:name> @@ -33955,7 +33950,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_43_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 43 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_43_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34843">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_43_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34843">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_43_DIRECTION</spirit:name> @@ -33982,7 +33977,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_44_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 44 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_44_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34844">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_44_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34844">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_44_DIRECTION</spirit:name> @@ -34009,7 +34004,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_45_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 45 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_45_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34845">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_45_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34845">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_45_DIRECTION</spirit:name> @@ -34036,7 +34031,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_46_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 46 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_46_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34846">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_46_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34846">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_46_DIRECTION</spirit:name> @@ -34063,7 +34058,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_47_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 47 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_47_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34847">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_47_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34847">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_47_DIRECTION</spirit:name> @@ -34090,7 +34085,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_48_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 48 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_48_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34848">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_48_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34848">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_48_DIRECTION</spirit:name> @@ -34117,7 +34112,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_49_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 49 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_49_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34849">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_49_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34849">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_49_DIRECTION</spirit:name> @@ -34144,7 +34139,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_50_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 50 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_50_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34850">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_50_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34850">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_50_DIRECTION</spirit:name> @@ -34171,7 +34166,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_51_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 51 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_51_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34851">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_51_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34851">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_51_DIRECTION</spirit:name> @@ -34198,7 +34193,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_52_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 52 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_52_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34852">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_52_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34852">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_52_DIRECTION</spirit:name> @@ -34225,7 +34220,7 @@ <spirit:parameter> <spirit:name>PCW_MIO_53_IOTYPE</spirit:name> <spirit:displayName>PCW MIO 53 IOTYPE</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_53_IOTYPE" spirit:choiceRef="choice_list_6bc4d474" spirit:order="34853">LVCMOS 3.3V</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_53_IOTYPE" spirit:choiceRef="choice_list_369f74cf" spirit:order="34853">LVCMOS 1.8V</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_53_DIRECTION</spirit:name> @@ -34257,12 +34252,12 @@ <spirit:parameter> <spirit:name>PCW_MIO_TREE_PERIPHERALS</spirit:name> <spirit:displayName>PCW MIO TREE PERIPHERALS</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_TREE_PERIPHERALS" spirit:order="210000">Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#GPIO#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#GPIO#GPIO#I2C 0#I2C 0#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_TREE_PERIPHERALS" spirit:order="210000">Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#GPIO#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#I2C 1#I2C 1#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#I2C 0#I2C 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#UART 1#UART 1#GPIO#GPIO#Enet 0#Enet 0</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_MIO_TREE_SIGNALS</spirit:name> <spirit:displayName>PCW MIO TREE SIGNALS</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_TREE_SIGNALS" spirit:order="220000">qspi1_ss_b#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]#qspi0_sclk#gpio[7]#qspi_fbclk#qspi1_sclk#qspi1_io[0]#qspi1_io[1]#qspi1_io[2]#qspi1_io[3]#gpio[14]#gpio[15]#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#gpio[28]#gpio[29]#scl#sda#gpio[32]#gpio[33]#gpio[34]#gpio[35]#gpio[36]#gpio[37]#gpio[38]#gpio[39]#clk#cmd#data[0]#data[1]#data[2]#data[3]#cd#wp#tx#rx#gpio[50]#gpio[51]#mdc#mdio</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PCW_MIO_TREE_SIGNALS" spirit:order="220000">qspi1_ss_b#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]#qspi0_sclk#gpio[7]#qspi_fbclk#qspi1_sclk#qspi1_io[0]#qspi1_io[1]#qspi1_io[2]#qspi1_io[3]#gpio[14]#gpio[15]#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#scl#sda#gpio[30]#gpio[31]#gpio[32]#gpio[33]#gpio[34]#gpio[35]#gpio[36]#gpio[37]#scl#sda#clk#cmd#data[0]#data[1]#data[2]#data[3]#cd#wp#tx#rx#gpio[50]#gpio[51]#mdc#mdio</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>PCW_PS7_SI_REV</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.v index 7e296d0f5e235fca5ee493016de60e68e56cd039..f65f157ef7eea3c7a34caa28ef419a30f5f1ff92 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Tue Jun 20 20:01:40 2017 +// Date : Mon Dec 18 11:25:00 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode funcsim // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.v @@ -795,7 +795,7 @@ PULLUP pullup_MIO_53 (* C_USE_S_AXI_HP2 = "0" *) (* C_USE_S_AXI_HP3 = "0" *) (* HW_HANDOFF = "system_design_processing_system7_0_0.hwdef" *) - (* POWER = "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS33} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS33} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>" *) + (* POWER = "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={10} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>" *) (* USE_TRACE_DATA_EDGE_DETECTOR = "0" *) system_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 inst (.CAN0_PHY_RX(1'b0), @@ -1503,7 +1503,7 @@ endmodule (* C_USE_M_AXI_GP1 = "1" *) (* C_USE_S_AXI_ACP = "0" *) (* C_USE_S_AXI_GP0 = "0" *) (* C_USE_S_AXI_GP1 = "0" *) (* C_USE_S_AXI_HP0 = "0" *) (* C_USE_S_AXI_HP1 = "0" *) (* C_USE_S_AXI_HP2 = "0" *) (* C_USE_S_AXI_HP3 = "0" *) (* HW_HANDOFF = "system_design_processing_system7_0_0.hwdef" *) -(* ORIG_REF_NAME = "processing_system7_v5_5_processing_system7" *) (* POWER = "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS33} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS33} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>" *) (* USE_TRACE_DATA_EDGE_DETECTOR = "0" *) +(* ORIG_REF_NAME = "processing_system7_v5_5_processing_system7" *) (* POWER = "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={10} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>" *) (* USE_TRACE_DATA_EDGE_DETECTOR = "0" *) module system_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 (CAN0_PHY_TX, CAN0_PHY_RX, diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.vhdl index 9e416989d6cea29c22de6f1ca9c4115d7222b25a..cafb80c0674da5c84d5a1a9f66d3497cfc162b96 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Tue Jun 20 20:01:40 2017 +-- Date : Mon Dec 18 11:25:00 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode funcsim -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_sim_netlist.vhdl @@ -813,7 +813,7 @@ entity system_design_processing_system7_0_0_processing_system7_v5_5_processing_s attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "processing_system7_v5_5_processing_system7"; attribute POWER : string; - attribute POWER of system_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS33} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS33} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>"; + attribute POWER of system_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={10} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>"; attribute USE_TRACE_DATA_EDGE_DETECTOR : integer; attribute USE_TRACE_DATA_EDGE_DETECTOR of system_design_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; end system_design_processing_system7_0_0_processing_system7_v5_5_processing_system7; @@ -3844,7 +3844,7 @@ architecture STRUCTURE of system_design_processing_system7_0_0 is attribute HW_HANDOFF : string; attribute HW_HANDOFF of inst : label is "system_design_processing_system7_0_0.hwdef"; attribute POWER : string; - attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS33} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS33} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS33} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>"; + attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666666} load={0.5} /><MEMORY name={code} memType={DDR3(LowVoltage)} dataWidth={32} clockFreq={533.333333} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={10} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={I2C} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={100.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={25.000000} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={12} ioBank={Vcco_p0} clockFreq={125} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP1} dataWidth={32} clockFreq={62} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>"; attribute USE_TRACE_DATA_EDGE_DETECTOR : integer; attribute USE_TRACE_DATA_EDGE_DETECTOR of inst : label is 0; begin diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.v index 547ae28dfb0a13f03a96a2a060f583d3be8b7e2a..87ab8be95cce74177e061ddc57f59d5b1b3ab090 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Tue Jun 20 20:01:40 2017 +// Date : Mon Dec 18 11:24:59 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode synth_stub // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.v diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.vhdl index 786fe57717859becceb10288e0d5e26e0bfd8cb5..bdba7519854e0eda7edd8bbaa788c693f4c3c0bc 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Tue Jun 20 20:01:40 2017 +-- Date : Mon Dec 18 11:24:59 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode synth_stub -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0_stub.vhdl diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/sim/system_design_xbar_0.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/sim/system_design_xbar_0.v index f74e95a35e07b16728eff4017b26d881218ca57a..810ccffa7ae01966e6affe2d4449a2aacb28771f 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/sim/system_design_xbar_0.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/sim/system_design_xbar_0.v @@ -188,8 +188,8 @@ output wire [7 : 0] m_axi_rready; .C_AXI_DATA_WIDTH(32), .C_AXI_PROTOCOL(2), .C_NUM_ADDR_RANGES(1), - .C_M_AXI_BASE_ADDR(512'H0000000042c0000000000000404000000000000043c400000000000043c50000ffffffffffffffff0000000043c300000000000043c100000000000043c00000), - .C_M_AXI_ADDR_WIDTH(256'H0000001000000010000000100000001000000000000000100000001000000010), + .C_M_AXI_BASE_ADDR(512'H0000000042c0000000000000404000000000000043c400000000000043c500000000000043c200000000000043c300000000000043c100000000000043c00000), + .C_M_AXI_ADDR_WIDTH(256'H0000001000000010000000100000001000000010000000100000001000000010), .C_S_AXI_BASE_ID(32'H00000000), .C_S_AXI_THREAD_ID_WIDTH(32'H00000000), .C_AXI_SUPPORTS_USER_SIGNALS(0), diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/synth/system_design_xbar_0.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/synth/system_design_xbar_0.v index 90cf89988487dcd912bdc59e5c22bcff5fa7f627..88264810b2ac87393716bfa2e3bd3c0b2790a5e3 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/synth/system_design_xbar_0.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/synth/system_design_xbar_0.v @@ -52,8 +52,8 @@ (* X_CORE_INFO = "axi_crossbar_v2_1_10_axi_crossbar,Vivado 2016.2" *) (* CHECK_LICENSE_TYPE = "system_design_xbar_0,axi_crossbar_v2_1_10_axi_crossbar,{}" *) -(* CORE_GENERATION_INFO = "system_design_xbar_0,axi_crossbar_v2_1_10_axi_crossbar,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_crossbar,x_ipVersion=2.1,x_ipCoreRevision=10,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_NUM_SLAVE_SLOTS=1,C_NUM_MASTER_SLOTS=8,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=32,C_AXI_PROTOCOL=2,C_NUM_ADDR_RANGES=1,C_M_AXI_BASE_ADDR=0x0000000042c0000000000000404000000000000043c400000000000043c50000ffffffffffffffff0000000043c300000000000043c1000000\ -00000043c00000,C_M_AXI_ADDR_WIDTH=0x0000001000000010000000100000001000000000000000100000001000000010,C_S_AXI_BASE_ID=0x00000000,C_S_AXI_THREAD_ID_WIDTH=0x00000000,C_AXI_SUPPORTS_USER_SIGNALS=0,C_AXI_AWUSER_WIDTH=1,C_AXI_ARUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_M_AXI_WRITE_CONNECTIVITY=0x0000000100000001000000010000000100000001000000010000000100000001,C_M_AXI_READ_CONNECTIVITY=0x0000000100000001000000010000000100000001000000010000000100000001,C_R_REGISTER=1,C_S\ +(* CORE_GENERATION_INFO = "system_design_xbar_0,axi_crossbar_v2_1_10_axi_crossbar,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_crossbar,x_ipVersion=2.1,x_ipCoreRevision=10,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_NUM_SLAVE_SLOTS=1,C_NUM_MASTER_SLOTS=8,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=32,C_AXI_PROTOCOL=2,C_NUM_ADDR_RANGES=1,C_M_AXI_BASE_ADDR=0x0000000042c0000000000000404000000000000043c400000000000043c500000000000043c200000000000043c300000000000043c1000000\ +00000043c00000,C_M_AXI_ADDR_WIDTH=0x0000001000000010000000100000001000000010000000100000001000000010,C_S_AXI_BASE_ID=0x00000000,C_S_AXI_THREAD_ID_WIDTH=0x00000000,C_AXI_SUPPORTS_USER_SIGNALS=0,C_AXI_AWUSER_WIDTH=1,C_AXI_ARUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_M_AXI_WRITE_CONNECTIVITY=0x0000000100000001000000010000000100000001000000010000000100000001,C_M_AXI_READ_CONNECTIVITY=0x0000000100000001000000010000000100000001000000010000000100000001,C_R_REGISTER=1,C_S\ _AXI_SINGLE_THREAD=0x00000001,C_S_AXI_WRITE_ACCEPTANCE=0x00000001,C_S_AXI_READ_ACCEPTANCE=0x00000001,C_M_AXI_WRITE_ISSUING=0x0000000100000001000000010000000100000001000000010000000100000001,C_M_AXI_READ_ISSUING=0x0000000100000001000000010000000100000001000000010000000100000001,C_S_AXI_ARB_PRIORITY=0x00000000,C_M_AXI_SECURE=0x0000000000000000000000000000000000000000000000000000000000000000,C_CONNECTIVITY_MODE=0}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) module system_design_xbar_0 ( @@ -191,8 +191,8 @@ output wire [7 : 0] m_axi_rready; .C_AXI_DATA_WIDTH(32), .C_AXI_PROTOCOL(2), .C_NUM_ADDR_RANGES(1), - .C_M_AXI_BASE_ADDR(512'H0000000042c0000000000000404000000000000043c400000000000043c50000ffffffffffffffff0000000043c300000000000043c100000000000043c00000), - .C_M_AXI_ADDR_WIDTH(256'H0000001000000010000000100000001000000000000000100000001000000010), + .C_M_AXI_BASE_ADDR(512'H0000000042c0000000000000404000000000000043c400000000000043c500000000000043c200000000000043c300000000000043c100000000000043c00000), + .C_M_AXI_ADDR_WIDTH(256'H0000001000000010000000100000001000000010000000100000001000000010), .C_S_AXI_BASE_ID(32'H00000000), .C_S_AXI_THREAD_ID_WIDTH(32'H00000000), .C_AXI_SUPPORTS_USER_SIGNALS(0), diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.dcp index fa66e3cdf994825a647140c8b65ddc1017f525ee..774c5b02fe55a4f9d43f4a9fc5155aec62e2bfab 100644 Binary files a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.dcp and b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.dcp differ diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.xci index e1a18eb5ad21a82c6c5b7817e8378a70b2f8b8d3..424ee1e575e593c1b7bc88842ddec517209f7583 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.xci +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.xci @@ -288,8 +288,8 @@ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_WUSER_WIDTH">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONNECTIVITY_MODE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_ADDR_WIDTH">0x0000001000000010000000100000001000000000000000100000001000000010</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_BASE_ADDR">0x0000000042c0000000000000404000000000000043c400000000000043c50000ffffffffffffffff0000000043c300000000000043c100000000000043c00000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_ADDR_WIDTH">0x0000001000000010000000100000001000000010000000100000001000000010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_BASE_ADDR">0x0000000042c0000000000000404000000000000043c400000000000043c500000000000043c200000000000043c300000000000043c100000000000043c00000</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_READ_CONNECTIVITY">0x0000000100000001000000010000000100000001000000010000000100000001</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_READ_ISSUING">0x0000000100000001000000010000000100000001000000010000000100000001</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_SECURE">0x0000000000000000000000000000000000000000000000000000000000000000</spirit:configurableElementValue> @@ -518,8 +518,8 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_SECURE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_WRITE_ISSUING">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A00_ADDR_WIDTH">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A00_ADDR_WIDTH">16</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A00_BASE_ADDR">0x0000000043C20000</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A01_ADDR_WIDTH">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A02_ADDR_WIDTH">0</spirit:configurableElementValue> @@ -1578,13 +1578,21 @@ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M02_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M02_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M02_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.FREQ_HZ" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_BURST" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_CACHE" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_LOCK" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_QOS" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_REGION" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_RRESP" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M04_AXI.ADDR_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M04_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> @@ -1709,6 +1717,8 @@ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_A00_BASE_ADDR" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_A01_ADDR_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_A01_BASE_ADDR" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_S00_READ_CONNECTIVITY" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_S00_WRITE_CONNECTIVITY" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M04_A00_ADDR_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M04_A00_BASE_ADDR" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M04_S00_READ_CONNECTIVITY" xilinx:valueSource="propagated"/> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.xml index 404bd11aaeccfb18fdcdf3ab99ac72b783ec2fd8..6e6170c6fd67fcbac4ccaf31bb384153c8daea75 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0.xml @@ -18585,7 +18585,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>c1b610b4</spirit:value> + <spirit:value>0b76b639</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -18603,7 +18603,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Apr 13 07:58:15 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -18615,7 +18615,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>c1b610b4</spirit:value> + <spirit:value>0b76b639</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -18634,7 +18634,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Apr 13 07:58:15 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -18646,7 +18646,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>c1b610b4</spirit:value> + <spirit:value>0b76b639</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -18692,7 +18692,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>8588ec66</spirit:value> + <spirit:value>d2d78326</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -18711,7 +18711,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Apr 13 07:58:15 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -18723,7 +18723,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>8588ec66</spirit:value> + <spirit:value>d2d78326</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -18741,7 +18741,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Tue Jun 20 18:01:35 UTC 2017</spirit:value> + <spirit:value>Mon Dec 18 10:25:01 UTC 2017</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -18753,7 +18753,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>c1b610b4</spirit:value> + <spirit:value>0b76b639</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -20870,11 +20870,11 @@ </spirit:modelParameter> <spirit:modelParameter spirit:dataType="std_logic_vector"> <spirit:name>C_M_AXI_BASE_ADDR</spirit:name> - <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_BASE_ADDR" spirit:bitStringLength="512">0x0000000042c0000000000000404000000000000043c400000000000043c50000ffffffffffffffff0000000043c300000000000043c100000000000043c00000</spirit:value> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_BASE_ADDR" spirit:bitStringLength="512">0x0000000042c0000000000000404000000000000043c400000000000043c500000000000043c200000000000043c300000000000043c100000000000043c00000</spirit:value> </spirit:modelParameter> <spirit:modelParameter spirit:dataType="std_logic_vector"> <spirit:name>C_M_AXI_ADDR_WIDTH</spirit:name> - <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_ADDR_WIDTH" spirit:bitStringLength="256">0x0000001000000010000000100000001000000000000000100000001000000010</spirit:value> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_ADDR_WIDTH" spirit:bitStringLength="256">0x0000001000000010000000100000001000000010000000100000001000000010</spirit:value> </spirit:modelParameter> <spirit:modelParameter spirit:dataType="integer"> <spirit:name>C_S_AXI_BASE_ID</spirit:name> @@ -30516,7 +30516,7 @@ <spirit:parameter> <spirit:name>M03_A00_BASE_ADDR</spirit:name> <spirit:displayName>My M03_A00_BASE_ADDR</spirit:displayName> - <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A00_BASE_ADDR" spirit:order="741" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A00_BASE_ADDR" spirit:order="741" spirit:bitStringLength="64">0x0000000043C20000</spirit:value> <spirit:vendorExtensions> <xilinx:parameterInfo> <xilinx:enablement> @@ -33588,7 +33588,7 @@ <spirit:parameter> <spirit:name>M03_A00_ADDR_WIDTH</spirit:name> <spirit:displayName>My M03_A00_ADDR_WIDTH</spirit:displayName> - <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A00_ADDR_WIDTH" spirit:order="997" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A00_ADDR_WIDTH" spirit:order="997" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">16</spirit:value> <spirit:vendorExtensions> <xilinx:parameterInfo> <xilinx:enablement> @@ -36141,13 +36141,21 @@ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M02_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M02_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M02_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.FREQ_HZ" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_BURST" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_CACHE" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_LOCK" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_QOS" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_REGION" xilinx:valueSource="constant_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_RRESP" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M03_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M04_AXI.ADDR_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M04_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> @@ -36272,6 +36280,8 @@ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_A00_BASE_ADDR" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_A01_ADDR_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_A01_BASE_ADDR" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_S00_READ_CONNECTIVITY" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M03_S00_WRITE_CONNECTIVITY" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M04_A00_ADDR_WIDTH" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M04_A00_BASE_ADDR" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M04_S00_READ_CONNECTIVITY" xilinx:valueSource="propagated"/> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.v index 066e6a9efa02e7459476113f0c077b2780db2317..2752baec7bf00df563acfef8f2967310fad5c55d 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Tue Jun 20 20:01:34 2017 +// Date : Mon Dec 18 11:25:01 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode funcsim // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.v @@ -176,8 +176,8 @@ module system_design_xbar_0 (* C_CONNECTIVITY_MODE = "0" *) (* C_DEBUG = "1" *) (* C_FAMILY = "zynq" *) - (* C_M_AXI_ADDR_WIDTH = "256'b0000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000" *) - (* C_M_AXI_BASE_ADDR = "512'b00000000000000000000000000000000010000101100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000100001111000100000000000000000000000000000000000000000000000000010000111100010100000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000100001111000011000000000000000000000000000000000000000000000000010000111100000100000000000000000000000000000000000000000000000001000011110000000000000000000000" *) + (* C_M_AXI_ADDR_WIDTH = "256'b0000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000" *) + (* C_M_AXI_BASE_ADDR = "512'b00000000000000000000000000000000010000101100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000100001111000100000000000000000000000000000000000000000000000000010000111100010100000000000000000000000000000000000000000000000001000011110000100000000000000000000000000000000000000000000000000100001111000011000000000000000000000000000000000000000000000000010000111100000100000000000000000000000000000000000000000000000001000011110000000000000000000000" *) (* C_M_AXI_READ_CONNECTIVITY = "256'b0000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001" *) (* C_M_AXI_READ_ISSUING = "256'b0000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001" *) (* C_M_AXI_SECURE = "256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" *) @@ -309,45 +309,48 @@ endmodule module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd (m_valid_i, SR, + aa_grant_any, aa_grant_rnw, + \m_ready_d_reg[2] , + \gen_no_arbiter.m_valid_i_reg_0 , D, - \m_atarget_hot_reg[7] , - \m_atarget_hot_reg[7]_0 , - \m_atarget_hot_reg[7]_1 , + m_atarget_enc_comb, + \m_atarget_enc_reg[0] , + \m_atarget_enc_reg[3] , + \m_atarget_hot_reg[4] , + \m_atarget_hot_reg[1] , Q, s_axi_bvalid, - \m_ready_d_reg[2] , - \gen_axilite.s_axi_bvalid_i_reg , \m_ready_d_reg[2]_0 , + \gen_axilite.s_axi_bvalid_i_reg , + \m_ready_d_reg[2]_1 , m_axi_bready, \gen_no_arbiter.m_grant_hot_i_reg[0]_0 , s_axi_wready, - \m_ready_d_reg[2]_1 , - \gen_no_arbiter.m_grant_hot_i_reg[0]_1 , + \gen_axilite.s_axi_bvalid_i_reg_0 , + \m_ready_d_reg[2]_2 , + \m_ready_d_reg[2]_3 , m_axi_wvalid, m_axi_awvalid, \m_ready_d_reg[0] , \m_ready_d_reg[0]_0 , - \gen_axilite.s_axi_bvalid_i_reg_0 , - s_ready_i_reg, + \gen_axilite.s_axi_bvalid_i_reg_1 , + \gen_no_arbiter.m_grant_hot_i_reg[0]_1 , E, - \gen_no_arbiter.m_valid_i_reg_0 , - s_ready_i_reg_0, + m_valid_i_reg, + \m_ready_d_reg[0]_1 , m_axi_arvalid, \gen_axilite.s_axi_rvalid_i_reg , - \m_ready_d_reg[0]_1 , + \m_atarget_hot_reg[7] , + f_hot2enc_return0, \m_ready_d_reg[0]_2 , \gen_no_arbiter.m_grant_hot_i_reg[0]_2 , - \m_ready_d_reg[0]_3 , \gen_no_arbiter.m_grant_hot_i_reg[0]_3 , + \m_ready_d_reg[0]_3 , + \m_ready_d_reg[0]_4 , s_axi_awready, s_axi_arready, - s_axi_rvalid, - \m_atarget_enc_reg[0] , - \m_atarget_enc_reg[3] , - \m_atarget_hot_reg[2] , - \gen_axilite.s_axi_bvalid_i_reg_1 , - \gen_axilite.s_axi_awready_i_reg , + \gen_axilite.s_axi_bvalid_i_reg_2 , aclk, m_atarget_enc, m_ready_d, @@ -358,71 +361,73 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd \m_atarget_enc_reg[2] , \m_atarget_hot_reg[8] , s_axi_bready, - \gen_axilite.s_axi_awready_i_reg_0 , + \m_atarget_enc_reg[0]_0 , \m_atarget_enc_reg[3]_0 , + \m_atarget_enc_reg[0]_1 , + mi_wready, + \m_atarget_enc_reg[0]_2 , \m_atarget_enc_reg[2]_0 , - \m_atarget_enc_reg[3]_1 , s_axi_wvalid, - m_valid_i_reg, \m_atarget_enc_reg[1]_1 , - \m_atarget_enc_reg[1]_2 , - \m_atarget_enc_reg[2]_1 , - \m_atarget_enc_reg[2]_2 , + m_ready_d0, s_axi_rready, sr_rvalid, - m_axi_arready, m_axi_awready, m_axi_wready, m_axi_bvalid, + m_axi_arready, s_axi_arprot, s_axi_arvalid, s_axi_awprot, s_axi_araddr, s_axi_awaddr, - mi_wready, mi_bvalid, + \m_atarget_enc_reg[0]_3 , s_axi_awvalid); output m_valid_i; output [0:0]SR; + output aa_grant_any; output aa_grant_rnw; - output [7:0]D; - output \m_atarget_hot_reg[7] ; - output \m_atarget_hot_reg[7]_0 ; - output \m_atarget_hot_reg[7]_1 ; + output \m_ready_d_reg[2] ; + output \gen_no_arbiter.m_valid_i_reg_0 ; + output [8:0]D; + output [0:0]m_atarget_enc_comb; + output \m_atarget_enc_reg[0] ; + output \m_atarget_enc_reg[3] ; + output \m_atarget_hot_reg[4] ; + output \m_atarget_hot_reg[1] ; output [34:0]Q; output [0:0]s_axi_bvalid; - output \m_ready_d_reg[2] ; - output \gen_axilite.s_axi_bvalid_i_reg ; output \m_ready_d_reg[2]_0 ; - output [6:0]m_axi_bready; + output \gen_axilite.s_axi_bvalid_i_reg ; + output \m_ready_d_reg[2]_1 ; + output [7:0]m_axi_bready; output \gen_no_arbiter.m_grant_hot_i_reg[0]_0 ; output [0:0]s_axi_wready; - output \m_ready_d_reg[2]_1 ; - output \gen_no_arbiter.m_grant_hot_i_reg[0]_1 ; - output [6:0]m_axi_wvalid; - output [6:0]m_axi_awvalid; + output \gen_axilite.s_axi_bvalid_i_reg_0 ; + output \m_ready_d_reg[2]_2 ; + output \m_ready_d_reg[2]_3 ; + output [7:0]m_axi_wvalid; + output [7:0]m_axi_awvalid; output \m_ready_d_reg[0] ; output \m_ready_d_reg[0]_0 ; - output \gen_axilite.s_axi_bvalid_i_reg_0 ; - output s_ready_i_reg; + output \gen_axilite.s_axi_bvalid_i_reg_1 ; + output \gen_no_arbiter.m_grant_hot_i_reg[0]_1 ; output [0:0]E; - output \gen_no_arbiter.m_valid_i_reg_0 ; - output s_ready_i_reg_0; - output [6:0]m_axi_arvalid; - output \gen_axilite.s_axi_rvalid_i_reg ; + output m_valid_i_reg; output \m_ready_d_reg[0]_1 ; + output [7:0]m_axi_arvalid; + output \gen_axilite.s_axi_rvalid_i_reg ; + output \m_atarget_hot_reg[7] ; + output f_hot2enc_return0; output \m_ready_d_reg[0]_2 ; output \gen_no_arbiter.m_grant_hot_i_reg[0]_2 ; - output \m_ready_d_reg[0]_3 ; output \gen_no_arbiter.m_grant_hot_i_reg[0]_3 ; + output \m_ready_d_reg[0]_3 ; + output \m_ready_d_reg[0]_4 ; output [0:0]s_axi_awready; output [0:0]s_axi_arready; - output [0:0]s_axi_rvalid; - output \m_atarget_enc_reg[0] ; - output [0:0]\m_atarget_enc_reg[3] ; - output \m_atarget_hot_reg[2] ; - output \gen_axilite.s_axi_bvalid_i_reg_1 ; - output \gen_axilite.s_axi_awready_i_reg ; + output \gen_axilite.s_axi_bvalid_i_reg_2 ; input aclk; input [3:0]m_atarget_enc; input [2:0]m_ready_d; @@ -431,34 +436,33 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd input \m_atarget_enc_reg[1] ; input \m_atarget_enc_reg[1]_0 ; input \m_atarget_enc_reg[2] ; - input [7:0]\m_atarget_hot_reg[8] ; + input [8:0]\m_atarget_hot_reg[8] ; input [0:0]s_axi_bready; - input \gen_axilite.s_axi_awready_i_reg_0 ; + input \m_atarget_enc_reg[0]_0 ; input \m_atarget_enc_reg[3]_0 ; + input \m_atarget_enc_reg[0]_1 ; + input [0:0]mi_wready; + input \m_atarget_enc_reg[0]_2 ; input \m_atarget_enc_reg[2]_0 ; - input \m_atarget_enc_reg[3]_1 ; input [0:0]s_axi_wvalid; - input m_valid_i_reg; input \m_atarget_enc_reg[1]_1 ; - input \m_atarget_enc_reg[1]_2 ; - input \m_atarget_enc_reg[2]_1 ; - input \m_atarget_enc_reg[2]_2 ; + input [0:0]m_ready_d0; input [0:0]s_axi_rready; input sr_rvalid; - input [7:0]m_axi_arready; input [7:0]m_axi_awready; input [3:0]m_axi_wready; input [3:0]m_axi_bvalid; + input [7:0]m_axi_arready; input [2:0]s_axi_arprot; input [0:0]s_axi_arvalid; input [2:0]s_axi_awprot; input [31:0]s_axi_araddr; input [31:0]s_axi_awaddr; - input [0:0]mi_wready; input [0:0]mi_bvalid; + input \m_atarget_enc_reg[0]_3 ; input [0:0]s_axi_awvalid; - wire [7:0]D; + wire [8:0]D; wire [0:0]E; wire [34:0]Q; wire [0:0]SR; @@ -466,12 +470,11 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd wire aa_grant_rnw; wire aclk; wire aresetn_d; - wire \gen_axilite.s_axi_awready_i_reg ; - wire \gen_axilite.s_axi_awready_i_reg_0 ; - wire \gen_axilite.s_axi_bvalid_i_i_2_n_0 ; + wire f_hot2enc_return0; wire \gen_axilite.s_axi_bvalid_i_reg ; wire \gen_axilite.s_axi_bvalid_i_reg_0 ; wire \gen_axilite.s_axi_bvalid_i_reg_1 ; + wire \gen_axilite.s_axi_bvalid_i_reg_2 ; wire \gen_axilite.s_axi_rvalid_i_reg ; wire \gen_no_arbiter.grant_rnw_i_1_n_0 ; wire \gen_no_arbiter.m_grant_hot_i[0]_i_1_n_0 ; @@ -479,66 +482,67 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd wire \gen_no_arbiter.m_grant_hot_i[0]_i_3_n_0 ; wire \gen_no_arbiter.m_grant_hot_i[0]_i_4_n_0 ; wire \gen_no_arbiter.m_grant_hot_i[0]_i_5_n_0 ; - wire \gen_no_arbiter.m_grant_hot_i[0]_i_6_n_0 ; wire \gen_no_arbiter.m_grant_hot_i_reg[0]_0 ; wire \gen_no_arbiter.m_grant_hot_i_reg[0]_1 ; wire \gen_no_arbiter.m_grant_hot_i_reg[0]_2 ; wire \gen_no_arbiter.m_grant_hot_i_reg[0]_3 ; wire \gen_no_arbiter.m_valid_i_i_1_n_0 ; wire \gen_no_arbiter.m_valid_i_i_2_n_0 ; - wire \gen_no_arbiter.m_valid_i_i_3_n_0 ; - wire \gen_no_arbiter.m_valid_i_i_5_n_0 ; wire \gen_no_arbiter.m_valid_i_i_6_n_0 ; - wire \gen_no_arbiter.m_valid_i_i_8_n_0 ; + wire \gen_no_arbiter.m_valid_i_i_7_n_0 ; wire \gen_no_arbiter.m_valid_i_reg_0 ; wire \gen_no_arbiter.s_ready_i[0]_i_1_n_0 ; wire [3:0]m_atarget_enc; - wire \m_atarget_enc[0]_i_3_n_0 ; - wire \m_atarget_enc[0]_i_4_n_0 ; + wire \m_atarget_enc[2]_i_3_n_0 ; + wire \m_atarget_enc[3]_i_2_n_0 ; + wire \m_atarget_enc[3]_i_4_n_0 ; + wire [0:0]m_atarget_enc_comb; wire \m_atarget_enc_reg[0] ; + wire \m_atarget_enc_reg[0]_0 ; + wire \m_atarget_enc_reg[0]_1 ; + wire \m_atarget_enc_reg[0]_2 ; + wire \m_atarget_enc_reg[0]_3 ; wire \m_atarget_enc_reg[1] ; wire \m_atarget_enc_reg[1]_0 ; wire \m_atarget_enc_reg[1]_1 ; - wire \m_atarget_enc_reg[1]_2 ; wire \m_atarget_enc_reg[2] ; wire \m_atarget_enc_reg[2]_0 ; - wire \m_atarget_enc_reg[2]_1 ; - wire \m_atarget_enc_reg[2]_2 ; - wire [0:0]\m_atarget_enc_reg[3] ; + wire \m_atarget_enc_reg[3] ; wire \m_atarget_enc_reg[3]_0 ; - wire \m_atarget_enc_reg[3]_1 ; - wire \m_atarget_hot[1]_i_2_n_0 ; + wire \m_atarget_hot[0]_i_2_n_0 ; + wire \m_atarget_hot[0]_i_3_n_0 ; wire \m_atarget_hot[6]_i_2_n_0 ; wire \m_atarget_hot[6]_i_3_n_0 ; - wire \m_atarget_hot[6]_i_4_n_0 ; - wire \m_atarget_hot[7]_i_2_n_0 ; wire \m_atarget_hot[7]_i_3_n_0 ; - wire \m_atarget_hot_reg[2] ; + wire \m_atarget_hot_reg[1] ; + wire \m_atarget_hot_reg[4] ; wire \m_atarget_hot_reg[7] ; - wire \m_atarget_hot_reg[7]_0 ; - wire \m_atarget_hot_reg[7]_1 ; - wire [7:0]\m_atarget_hot_reg[8] ; + wire [8:0]\m_atarget_hot_reg[8] ; wire [7:0]m_axi_arready; - wire [6:0]m_axi_arvalid; + wire [7:0]m_axi_arvalid; wire [7:0]m_axi_awready; - wire [6:0]m_axi_awvalid; - wire [6:0]m_axi_bready; + wire [7:0]m_axi_awvalid; + wire [7:0]m_axi_bready; wire [3:0]m_axi_bvalid; wire [3:0]m_axi_wready; - wire [6:0]m_axi_wvalid; + wire [7:0]m_axi_wvalid; wire [2:0]m_ready_d; - wire \m_ready_d[2]_i_9_n_0 ; + wire [0:0]m_ready_d0; + wire \m_ready_d[2]_i_5_n_0 ; + wire \m_ready_d[2]_i_6_n_0 ; wire [1:0]m_ready_d_0; wire \m_ready_d_reg[0] ; wire \m_ready_d_reg[0]_0 ; wire \m_ready_d_reg[0]_1 ; wire \m_ready_d_reg[0]_2 ; wire \m_ready_d_reg[0]_3 ; + wire \m_ready_d_reg[0]_4 ; wire \m_ready_d_reg[2] ; wire \m_ready_d_reg[2]_0 ; wire \m_ready_d_reg[2]_1 ; + wire \m_ready_d_reg[2]_2 ; + wire \m_ready_d_reg[2]_3 ; wire m_valid_i; - wire m_valid_i_i_4_n_0; wire m_valid_i_reg; wire [0:0]mi_bvalid; wire [0:0]mi_wready; @@ -560,37 +564,23 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd wire [0:0]s_axi_bvalid; wire \s_axi_bvalid[0]_INST_0_i_3_n_0 ; wire [0:0]s_axi_rready; - wire [0:0]s_axi_rvalid; wire [0:0]s_axi_wready; - wire \s_axi_wready[0]_INST_0_i_1_n_0 ; - wire \s_axi_wready[0]_INST_0_i_2_n_0 ; wire [0:0]s_axi_wvalid; wire s_ready_i; - wire s_ready_i_reg; - wire s_ready_i_reg_0; wire [0:0]\splitter_aw/m_ready_d0 ; wire sr_rvalid; - LUT5 #( - .INIT(32'hFFDF0020)) - \gen_axilite.s_axi_awready_i_i_1 - (.I0(\gen_axilite.s_axi_bvalid_i_i_2_n_0 ), - .I1(\gen_axilite.s_axi_bvalid_i_reg_0 ), - .I2(\m_atarget_hot_reg[8] [7]), - .I3(mi_bvalid), - .I4(mi_wready), - .O(\gen_axilite.s_axi_awready_i_reg )); LUT6 #( - .INIT(64'hAAFFAAFF0C000000)) + .INIT(64'h5050F0F05C50F0F0)) \gen_axilite.s_axi_bvalid_i_i_1 (.I0(\gen_axilite.s_axi_bvalid_i_reg ), - .I1(\gen_axilite.s_axi_bvalid_i_i_2_n_0 ), - .I2(\gen_axilite.s_axi_bvalid_i_reg_0 ), - .I3(\m_atarget_hot_reg[8] [7]), - .I4(mi_wready), - .I5(mi_bvalid), - .O(\gen_axilite.s_axi_bvalid_i_reg_1 )); - (* SOFT_HLUTNM = "soft_lutpair0" *) + .I1(mi_wready), + .I2(mi_bvalid), + .I3(\gen_axilite.s_axi_bvalid_i_reg_0 ), + .I4(\m_atarget_hot_reg[8] [8]), + .I5(\gen_axilite.s_axi_bvalid_i_reg_1 ), + .O(\gen_axilite.s_axi_bvalid_i_reg_2 )); + (* SOFT_HLUTNM = "soft_lutpair1" *) LUT4 #( .INIT(16'h0020)) \gen_axilite.s_axi_bvalid_i_i_2 @@ -598,29 +588,29 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I1(aa_grant_rnw), .I2(m_valid_i), .I3(m_ready_d[1]), - .O(\gen_axilite.s_axi_bvalid_i_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair25" *) + .O(\gen_axilite.s_axi_bvalid_i_reg_0 )); + (* SOFT_HLUTNM = "soft_lutpair16" *) LUT3 #( .INIT(8'hFB)) \gen_axilite.s_axi_bvalid_i_i_3 (.I0(m_ready_d[2]), .I1(m_valid_i), .I2(aa_grant_rnw), - .O(\gen_axilite.s_axi_bvalid_i_reg_0 )); - (* SOFT_HLUTNM = "soft_lutpair25" *) + .O(\gen_axilite.s_axi_bvalid_i_reg_1 )); + (* SOFT_HLUTNM = "soft_lutpair26" *) LUT3 #( .INIT(8'hBF)) \gen_axilite.s_axi_rvalid_i_i_2 (.I0(m_ready_d_0[1]), - .I1(m_valid_i), - .I2(aa_grant_rnw), + .I1(aa_grant_rnw), + .I2(m_valid_i), .O(\gen_axilite.s_axi_rvalid_i_reg )); LUT6 #( - .INIT(64'hFFFFFF5300000050)) + .INIT(64'hFFFFFF4700000044)) \gen_no_arbiter.grant_rnw_i_1 (.I0(s_awvalid_reg), - .I1(s_axi_awvalid), - .I2(s_axi_arvalid), + .I1(s_axi_arvalid), + .I2(s_axi_awvalid), .I3(aa_grant_any), .I4(m_valid_i), .I5(aa_grant_rnw), @@ -1132,58 +1122,58 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .Q(Q[8]), .R(SR)); LUT6 #( - .INIT(64'h0000000088888088)) + .INIT(64'h0808080808000808)) \gen_no_arbiter.m_grant_hot_i[0]_i_1 (.I0(\gen_no_arbiter.m_grant_hot_i[0]_i_2_n_0 ), .I1(aresetn_d), .I2(\gen_no_arbiter.m_grant_hot_i[0]_i_3_n_0 ), - .I3(\splitter_aw/m_ready_d0 ), - .I4(\gen_no_arbiter.m_valid_i_i_3_n_0 ), - .I5(\gen_no_arbiter.m_grant_hot_i[0]_i_4_n_0 ), + .I3(\gen_no_arbiter.m_grant_hot_i[0]_i_4_n_0 ), + .I4(\splitter_aw/m_ready_d0 ), + .I5(\gen_no_arbiter.m_valid_i_i_2_n_0 ), .O(\gen_no_arbiter.m_grant_hot_i[0]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair15" *) + (* SOFT_HLUTNM = "soft_lutpair13" *) LUT4 #( .INIT(16'hF0FE)) \gen_no_arbiter.m_grant_hot_i[0]_i_2 - (.I0(s_axi_awvalid), - .I1(s_axi_arvalid), + (.I0(s_axi_arvalid), + .I1(s_axi_awvalid), .I2(aa_grant_any), .I3(m_valid_i), .O(\gen_no_arbiter.m_grant_hot_i[0]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair9" *) + LUT6 #( + .INIT(64'h00000000FEAE0000)) + \gen_no_arbiter.m_grant_hot_i[0]_i_3 + (.I0(m_ready_d_0[1]), + .I1(\m_atarget_enc_reg[1]_1 ), + .I2(m_atarget_enc[0]), + .I3(\gen_no_arbiter.m_grant_hot_i[0]_i_5_n_0 ), + .I4(m_ready_d0), + .I5(\gen_no_arbiter.m_grant_hot_i_reg[0]_1 ), + .O(\gen_no_arbiter.m_grant_hot_i[0]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair6" *) LUT2 #( .INIT(4'hB)) - \gen_no_arbiter.m_grant_hot_i[0]_i_3 + \gen_no_arbiter.m_grant_hot_i[0]_i_4 (.I0(aa_grant_rnw), .I1(m_valid_i), - .O(\gen_no_arbiter.m_grant_hot_i[0]_i_3_n_0 )); - LUT6 #( - .INIT(64'h0000000055544454)) - \gen_no_arbiter.m_grant_hot_i[0]_i_4 - (.I0(m_valid_i_reg), - .I1(m_ready_d_0[1]), - .I2(\m_atarget_enc_reg[1]_1 ), - .I3(m_atarget_enc[0]), - .I4(\gen_no_arbiter.m_grant_hot_i[0]_i_5_n_0 ), - .I5(\gen_no_arbiter.m_grant_hot_i[0]_i_6_n_0 ), .O(\gen_no_arbiter.m_grant_hot_i[0]_i_4_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair4" *) + (* SOFT_HLUTNM = "soft_lutpair8" *) LUT5 #( .INIT(32'h00002000)) \gen_no_arbiter.m_grant_hot_i[0]_i_5 - (.I0(\gen_no_arbiter.m_valid_i_reg_0 ), + (.I0(\m_ready_d_reg[0]_1 ), .I1(m_ready_d_0[1]), - .I2(m_valid_i), - .I3(aa_grant_rnw), + .I2(aa_grant_rnw), + .I3(m_valid_i), .I4(m_atarget_enc[3]), .O(\gen_no_arbiter.m_grant_hot_i[0]_i_5_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair8" *) + (* SOFT_HLUTNM = "soft_lutpair4" *) LUT2 #( .INIT(4'h7)) \gen_no_arbiter.m_grant_hot_i[0]_i_6 - (.I0(aa_grant_rnw), - .I1(m_valid_i), - .O(\gen_no_arbiter.m_grant_hot_i[0]_i_6_n_0 )); + (.I0(m_valid_i), + .I1(aa_grant_rnw), + .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_1 )); FDRE \gen_no_arbiter.m_grant_hot_i_reg[0] (.C(aclk), .CE(1'b1), @@ -1191,14 +1181,14 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .Q(aa_grant_any), .R(1'b0)); LUT6 #( - .INIT(64'h3AFA3A0A3AFA3AFA)) + .INIT(64'h0BFBFFFF0BFB0000)) \gen_no_arbiter.m_valid_i_i_1 - (.I0(aa_grant_any), - .I1(\gen_no_arbiter.m_valid_i_i_2_n_0 ), - .I2(m_valid_i), - .I3(aa_grant_rnw), - .I4(\gen_no_arbiter.m_valid_i_i_3_n_0 ), - .I5(\splitter_aw/m_ready_d0 ), + (.I0(\gen_no_arbiter.m_valid_i_i_2_n_0 ), + .I1(\splitter_aw/m_ready_d0 ), + .I2(aa_grant_rnw), + .I3(\m_atarget_enc_reg[0]_3 ), + .I4(m_valid_i), + .I5(aa_grant_any), .O(\gen_no_arbiter.m_valid_i_i_1_n_0 )); LUT4 #( .INIT(16'h3B38)) @@ -1217,71 +1207,61 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I3(m_axi_bvalid[0]), .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_3 )); LUT6 #( - .INIT(64'h00000000FFFF2F20)) + .INIT(64'h444444444FFF4F4F)) \gen_no_arbiter.m_valid_i_i_2 - (.I0(\gen_no_arbiter.m_valid_i_reg_0 ), - .I1(\gen_no_arbiter.m_valid_i_i_5_n_0 ), - .I2(m_atarget_enc[0]), - .I3(\m_atarget_enc_reg[1]_1 ), - .I4(m_ready_d_0[1]), - .I5(m_valid_i_reg), + (.I0(\m_atarget_enc_reg[3]_0 ), + .I1(\gen_no_arbiter.m_valid_i_i_6_n_0 ), + .I2(\gen_axilite.s_axi_bvalid_i_reg_0 ), + .I3(\m_ready_d[2]_i_6_n_0 ), + .I4(\gen_no_arbiter.m_valid_i_i_7_n_0 ), + .I5(m_ready_d[1]), .O(\gen_no_arbiter.m_valid_i_i_2_n_0 )); LUT6 #( - .INIT(64'h0075FFFF00750075)) + .INIT(64'hEAAAEAFFAAAAAAAA)) \gen_no_arbiter.m_valid_i_i_3 - (.I0(\gen_axilite.s_axi_bvalid_i_i_2_n_0 ), - .I1(\gen_axilite.s_axi_awready_i_reg_0 ), - .I2(\gen_no_arbiter.m_valid_i_i_6_n_0 ), - .I3(m_ready_d[1]), - .I4(\m_atarget_enc_reg[3]_0 ), - .I5(\gen_no_arbiter.m_valid_i_i_8_n_0 ), - .O(\gen_no_arbiter.m_valid_i_i_3_n_0 )); - LUT6 #( - .INIT(64'hAAAAAAAAEAAAEAFF)) - \gen_no_arbiter.m_valid_i_i_4 (.I0(m_ready_d[0]), - .I1(\m_atarget_enc_reg[1] ), - .I2(\s_axi_bvalid[0]_INST_0_i_3_n_0 ), + .I1(\s_axi_bvalid[0]_INST_0_i_3_n_0 ), + .I2(\m_atarget_enc_reg[1] ), .I3(m_atarget_enc[0]), .I4(\m_atarget_enc_reg[1]_0 ), .I5(\gen_axilite.s_axi_bvalid_i_reg ), .O(\splitter_aw/m_ready_d0 )); - (* SOFT_HLUTNM = "soft_lutpair4" *) - LUT4 #( - .INIT(16'hFFBF)) - \gen_no_arbiter.m_valid_i_i_5 - (.I0(m_atarget_enc[3]), - .I1(aa_grant_rnw), - .I2(m_valid_i), - .I3(m_ready_d_0[1]), - .O(\gen_no_arbiter.m_valid_i_i_5_n_0 )); LUT6 #( .INIT(64'hFFFFFDFFFFFFFFFF)) \gen_no_arbiter.m_valid_i_i_6 - (.I0(\s_axi_wready[0]_INST_0_i_2_n_0 ), + (.I0(\m_ready_d_reg[0] ), .I1(m_atarget_enc[3]), .I2(aa_grant_rnw), .I3(m_valid_i), - .I4(m_ready_d[1]), + .I4(m_ready_d[2]), .I5(m_atarget_enc[0]), .O(\gen_no_arbiter.m_valid_i_i_6_n_0 )); LUT6 #( .INIT(64'hFFFFFDFFFFFFFFFF)) - \gen_no_arbiter.m_valid_i_i_8 - (.I0(\m_ready_d_reg[0] ), + \gen_no_arbiter.m_valid_i_i_7 + (.I0(\m_ready_d_reg[2] ), .I1(m_atarget_enc[3]), .I2(aa_grant_rnw), .I3(m_valid_i), - .I4(m_ready_d[2]), + .I4(m_ready_d[1]), .I5(m_atarget_enc[0]), - .O(\gen_no_arbiter.m_valid_i_i_8_n_0 )); + .O(\gen_no_arbiter.m_valid_i_i_7_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair8" *) + LUT4 #( + .INIT(16'h0040)) + \gen_no_arbiter.m_valid_i_i_9 + (.I0(m_atarget_enc[3]), + .I1(m_valid_i), + .I2(aa_grant_rnw), + .I3(m_ready_d_0[1]), + .O(\gen_no_arbiter.m_valid_i_reg_0 )); FDRE \gen_no_arbiter.m_valid_i_reg (.C(aclk), .CE(1'b1), .D(\gen_no_arbiter.m_valid_i_i_1_n_0 ), .Q(m_valid_i), .R(SR)); - (* SOFT_HLUTNM = "soft_lutpair15" *) + (* SOFT_HLUTNM = "soft_lutpair13" *) LUT3 #( .INIT(8'h40)) \gen_no_arbiter.s_ready_i[0]_i_1 @@ -1295,272 +1275,298 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .D(\gen_no_arbiter.s_ready_i[0]_i_1_n_0 ), .Q(s_ready_i), .R(1'b0)); - LUT6 #( - .INIT(64'h0012001201130012)) + LUT4 #( + .INIT(16'h10FF)) \m_atarget_enc[0]_i_2 - (.I0(Q[16]), - .I1(\m_atarget_enc[0]_i_3_n_0 ), - .I2(Q[18]), - .I3(\m_atarget_hot[1]_i_2_n_0 ), - .I4(\m_atarget_hot[6]_i_4_n_0 ), - .I5(\m_atarget_enc[0]_i_4_n_0 ), + (.I0(\m_atarget_enc_reg[3] ), + .I1(\m_atarget_hot_reg[4] ), + .I2(\m_atarget_hot_reg[1] ), + .I3(aresetn_d), .O(\m_atarget_enc_reg[0] )); - (* SOFT_HLUTNM = "soft_lutpair12" *) - LUT2 #( - .INIT(4'hE)) - \m_atarget_enc[0]_i_3 - (.I0(Q[19]), - .I1(Q[17]), - .O(\m_atarget_enc[0]_i_3_n_0 )); LUT6 #( - .INIT(64'hFEFFFFFFFFFFFFFF)) - \m_atarget_enc[0]_i_4 - (.I0(Q[20]), - .I1(Q[21]), - .I2(Q[24]), + .INIT(64'hFFFFFFFF20020000)) + \m_atarget_enc[2]_i_2 + (.I0(\m_atarget_hot[0]_i_2_n_0 ), + .I1(\m_atarget_enc[2]_i_3_n_0 ), + .I2(Q[25]), .I3(Q[23]), .I4(Q[22]), - .I5(Q[25]), - .O(\m_atarget_enc[0]_i_4_n_0 )); + .I5(\m_atarget_hot_reg[4] ), + .O(f_hot2enc_return0)); + LUT3 #( + .INIT(8'hFE)) + \m_atarget_enc[2]_i_3 + (.I0(Q[24]), + .I1(Q[20]), + .I2(Q[21]), + .O(\m_atarget_enc[2]_i_3_n_0 )); LUT6 #( - .INIT(64'h5554555455445554)) + .INIT(64'h00000000FFFFFEFA)) \m_atarget_enc[3]_i_1 - (.I0(\m_atarget_hot_reg[7]_1 ), - .I1(\m_atarget_hot[1]_i_2_n_0 ), - .I2(Q[17]), - .I3(Q[19]), - .I4(Q[16]), - .I5(Q[18]), - .O(\m_atarget_enc_reg[3] )); - LUT6 #( - .INIT(64'h0000000100000000)) - \m_atarget_hot[0]_i_1 - (.I0(\m_atarget_hot[1]_i_2_n_0 ), + (.I0(\m_atarget_enc[3]_i_2_n_0 ), .I1(Q[17]), .I2(Q[19]), - .I3(Q[16]), - .I4(Q[18]), - .I5(aa_grant_any), + .I3(Q[18]), + .I4(\m_atarget_hot[0]_i_3_n_0 ), + .I5(\m_atarget_enc_reg[3] ), + .O(m_atarget_enc_comb)); + LUT6 #( + .INIT(64'hFFFFFFFEFFFFFFFF)) + \m_atarget_enc[3]_i_2 + (.I0(Q[31]), + .I1(Q[27]), + .I2(Q[29]), + .I3(Q[28]), + .I4(Q[26]), + .I5(Q[30]), + .O(\m_atarget_enc[3]_i_2_n_0 )); + LUT6 #( + .INIT(64'h0000000000000007)) + \m_atarget_enc[3]_i_3 + (.I0(\m_atarget_hot[6]_i_3_n_0 ), + .I1(\m_atarget_hot[7]_i_3_n_0 ), + .I2(\m_atarget_enc[3]_i_2_n_0 ), + .I3(Q[17]), + .I4(\m_atarget_enc[3]_i_4_n_0 ), + .I5(Q[16]), + .O(\m_atarget_enc_reg[3] )); + (* SOFT_HLUTNM = "soft_lutpair0" *) + LUT2 #( + .INIT(4'hE)) + \m_atarget_enc[3]_i_4 + (.I0(Q[18]), + .I1(Q[19]), + .O(\m_atarget_enc[3]_i_4_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair27" *) + LUT3 #( + .INIT(8'h08)) + \m_atarget_hot[0]_i_1 + (.I0(\m_atarget_hot[0]_i_2_n_0 ), + .I1(aa_grant_any), + .I2(\m_atarget_hot[0]_i_3_n_0 ), .O(D[0])); + (* SOFT_HLUTNM = "soft_lutpair0" *) + LUT5 #( + .INIT(32'h00000001)) + \m_atarget_hot[0]_i_2 + (.I0(\m_atarget_enc[3]_i_2_n_0 ), + .I1(Q[17]), + .I2(Q[18]), + .I3(Q[19]), + .I4(Q[16]), + .O(\m_atarget_hot[0]_i_2_n_0 )); LUT6 #( - .INIT(64'h0000001000000000)) + .INIT(64'hFFFFFFFFFFFF7FFF)) + \m_atarget_hot[0]_i_3 + (.I0(Q[22]), + .I1(Q[23]), + .I2(Q[25]), + .I3(Q[24]), + .I4(Q[21]), + .I5(Q[20]), + .O(\m_atarget_hot[0]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair12" *) + LUT4 #( + .INIT(16'h0400)) \m_atarget_hot[1]_i_1 - (.I0(\m_atarget_hot[1]_i_2_n_0 ), - .I1(Q[18]), - .I2(Q[16]), - .I3(Q[19]), - .I4(Q[17]), - .I5(aa_grant_any), + (.I0(Q[17]), + .I1(Q[16]), + .I2(\m_atarget_hot_reg[1] ), + .I3(aa_grant_any), .O(D[1])); - (* SOFT_HLUTNM = "soft_lutpair2" *) + (* SOFT_HLUTNM = "soft_lutpair7" *) LUT5 #( - .INIT(32'hFFEFFFFF)) - \m_atarget_hot[1]_i_2 - (.I0(Q[21]), - .I1(Q[20]), - .I2(Q[24]), - .I3(\m_atarget_hot[7]_i_3_n_0 ), - .I4(\m_atarget_hot[6]_i_4_n_0 ), - .O(\m_atarget_hot[1]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair27" *) - LUT2 #( - .INIT(4'h8)) + .INIT(32'h00200000)) \m_atarget_hot[2]_i_1 - (.I0(aa_grant_any), - .I1(\m_atarget_hot_reg[2] ), + (.I0(Q[17]), + .I1(\m_atarget_hot_reg[1] ), + .I2(Q[16]), + .I3(m_atarget_enc_comb), + .I4(aa_grant_any), .O(D[2])); - (* SOFT_HLUTNM = "soft_lutpair6" *) + (* SOFT_HLUTNM = "soft_lutpair7" *) LUT5 #( - .INIT(32'h00100000)) - \m_atarget_hot[2]_i_2 - (.I0(\m_atarget_hot[1]_i_2_n_0 ), - .I1(Q[18]), + .INIT(32'h00000200)) + \m_atarget_hot[3]_i_1 + (.I0(Q[17]), + .I1(\m_atarget_hot_reg[1] ), .I2(Q[16]), + .I3(aa_grant_any), + .I4(m_atarget_enc_comb), + .O(D[3])); + (* SOFT_HLUTNM = "soft_lutpair3" *) + LUT4 #( + .INIT(16'hFFFE)) + \m_atarget_hot[3]_i_2 + (.I0(\m_atarget_hot[0]_i_3_n_0 ), + .I1(\m_atarget_enc[3]_i_2_n_0 ), + .I2(Q[18]), .I3(Q[19]), - .I4(Q[17]), - .O(\m_atarget_hot_reg[2] )); - (* SOFT_HLUTNM = "soft_lutpair26" *) - LUT3 #( - .INIT(8'h08)) + .O(\m_atarget_hot_reg[1] )); + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT4 #( + .INIT(16'h0800)) \m_atarget_hot[4]_i_1 - (.I0(aa_grant_any), - .I1(Q[16]), - .I2(\m_atarget_hot_reg[7]_0 ), - .O(D[3])); - (* SOFT_HLUTNM = "soft_lutpair26" *) - LUT3 #( - .INIT(8'h10)) - \m_atarget_hot[5]_i_1 - (.I0(\m_atarget_hot_reg[7]_0 ), + (.I0(\m_atarget_hot_reg[4] ), .I1(Q[16]), - .I2(aa_grant_any), + .I2(m_atarget_enc_comb), + .I3(aa_grant_any), .O(D[4])); - LUT6 #( - .INIT(64'h0004000000000000)) - \m_atarget_hot[6]_i_1 - (.I0(Q[23]), - .I1(Q[22]), - .I2(Q[25]), - .I3(\m_atarget_hot[6]_i_2_n_0 ), - .I4(\m_atarget_hot[6]_i_3_n_0 ), - .I5(aa_grant_any), + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT4 #( + .INIT(16'h0040)) + \m_atarget_hot[5]_i_1 + (.I0(Q[16]), + .I1(\m_atarget_hot_reg[4] ), + .I2(aa_grant_any), + .I3(m_atarget_enc_comb), .O(D[5])); - (* SOFT_HLUTNM = "soft_lutpair2" *) + (* SOFT_HLUTNM = "soft_lutpair3" *) + LUT5 #( + .INIT(32'h00000100)) + \m_atarget_hot[5]_i_2 + (.I0(\m_atarget_enc[3]_i_2_n_0 ), + .I1(Q[17]), + .I2(Q[19]), + .I3(Q[18]), + .I4(\m_atarget_hot[0]_i_3_n_0 ), + .O(\m_atarget_hot_reg[4] )); + (* SOFT_HLUTNM = "soft_lutpair28" *) LUT3 #( - .INIT(8'hFE)) + .INIT(8'h08)) + \m_atarget_hot[6]_i_1 + (.I0(\m_atarget_hot[6]_i_2_n_0 ), + .I1(aa_grant_any), + .I2(m_atarget_enc_comb), + .O(D[6])); + LUT6 #( + .INIT(64'h0000000000000001)) \m_atarget_hot[6]_i_2 - (.I0(Q[24]), - .I1(Q[21]), - .I2(Q[20]), + (.I0(Q[16]), + .I1(Q[19]), + .I2(Q[18]), + .I3(Q[17]), + .I4(\m_atarget_enc[3]_i_2_n_0 ), + .I5(\m_atarget_hot[6]_i_3_n_0 ), .O(\m_atarget_hot[6]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair12" *) - LUT5 #( - .INIT(32'h00000002)) + LUT6 #( + .INIT(64'hFFFFFFFEFFFFFFFF)) \m_atarget_hot[6]_i_3 - (.I0(\m_atarget_hot[6]_i_4_n_0 ), - .I1(Q[18]), - .I2(Q[16]), - .I3(Q[19]), - .I4(Q[17]), + (.I0(Q[21]), + .I1(Q[20]), + .I2(Q[24]), + .I3(Q[23]), + .I4(Q[25]), + .I5(Q[22]), .O(\m_atarget_hot[6]_i_3_n_0 )); - LUT6 #( - .INIT(64'h0000000100000000)) - \m_atarget_hot[6]_i_4 - (.I0(Q[31]), - .I1(Q[28]), - .I2(Q[26]), - .I3(Q[29]), - .I4(Q[27]), - .I5(Q[30]), - .O(\m_atarget_hot[6]_i_4_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT5 #( - .INIT(32'h88888088)) + (* SOFT_HLUTNM = "soft_lutpair27" *) + LUT3 #( + .INIT(8'h08)) \m_atarget_hot[7]_i_1 - (.I0(\m_atarget_hot[7]_i_2_n_0 ), + (.I0(\m_atarget_hot_reg[7] ), .I1(aa_grant_any), - .I2(\m_atarget_hot_reg[7]_1 ), - .I3(\m_atarget_hot_reg[7]_0 ), - .I4(\m_atarget_hot_reg[7] ), - .O(D[6])); - LUT5 #( - .INIT(32'h00000002)) + .I2(m_atarget_enc_comb), + .O(D[7])); + LUT6 #( + .INIT(64'h0000000000000001)) \m_atarget_hot[7]_i_2 - (.I0(\m_atarget_hot[6]_i_3_n_0 ), - .I1(\m_atarget_hot[7]_i_3_n_0 ), - .I2(Q[24]), + (.I0(Q[16]), + .I1(Q[19]), + .I2(Q[18]), + .I3(Q[17]), + .I4(\m_atarget_enc[3]_i_2_n_0 ), + .I5(\m_atarget_hot[7]_i_3_n_0 ), + .O(\m_atarget_hot_reg[7] )); + LUT6 #( + .INIT(64'hFFFFFFFFFFFFFF7F)) + \m_atarget_hot[7]_i_3 + (.I0(Q[22]), + .I1(Q[23]), + .I2(Q[25]), .I3(Q[21]), .I4(Q[20]), - .O(\m_atarget_hot[7]_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair11" *) - LUT3 #( - .INIT(8'h7F)) - \m_atarget_hot[7]_i_3 - (.I0(Q[25]), - .I1(Q[22]), - .I2(Q[23]), + .I5(Q[24]), .O(\m_atarget_hot[7]_i_3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT4 #( - .INIT(16'h0400)) + (* SOFT_HLUTNM = "soft_lutpair12" *) + LUT2 #( + .INIT(4'h8)) \m_atarget_hot[8]_i_1 - (.I0(\m_atarget_hot_reg[7] ), - .I1(\m_atarget_hot_reg[7]_0 ), - .I2(\m_atarget_hot_reg[7]_1 ), - .I3(aa_grant_any), - .O(D[7])); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT5 #( - .INIT(32'h00000405)) - \m_atarget_hot[8]_i_2 - (.I0(Q[18]), - .I1(Q[16]), - .I2(Q[19]), - .I3(Q[17]), - .I4(\m_atarget_hot[1]_i_2_n_0 ), - .O(\m_atarget_hot_reg[7] )); - LUT4 #( - .INIT(16'hFFFB)) - \m_atarget_hot[8]_i_3 - (.I0(\m_atarget_hot[1]_i_2_n_0 ), - .I1(Q[18]), - .I2(Q[19]), - .I3(Q[17]), - .O(\m_atarget_hot_reg[7]_0 )); - (* SOFT_HLUTNM = "soft_lutpair11" *) - LUT5 #( - .INIT(32'h20000200)) - \m_atarget_hot[8]_i_4 - (.I0(\m_atarget_hot[6]_i_3_n_0 ), - .I1(\m_atarget_hot[6]_i_2_n_0 ), - .I2(Q[25]), - .I3(Q[22]), - .I4(Q[23]), - .O(\m_atarget_hot_reg[7]_1 )); - (* SOFT_HLUTNM = "soft_lutpair23" *) + (.I0(m_atarget_enc_comb), + .I1(aa_grant_any), + .O(D[8])); + (* SOFT_HLUTNM = "soft_lutpair22" *) LUT4 #( .INIT(16'h0080)) \m_axi_arvalid[0]_INST_0 (.I0(\m_atarget_hot_reg[8] [0]), - .I1(aa_grant_rnw), - .I2(m_valid_i), + .I1(m_valid_i), + .I2(aa_grant_rnw), .I3(m_ready_d_0[1]), .O(m_axi_arvalid[0])); - (* SOFT_HLUTNM = "soft_lutpair21" *) + (* SOFT_HLUTNM = "soft_lutpair24" *) LUT4 #( .INIT(16'h0080)) \m_axi_arvalid[1]_INST_0 (.I0(\m_atarget_hot_reg[8] [1]), - .I1(aa_grant_rnw), - .I2(m_valid_i), + .I1(m_valid_i), + .I2(aa_grant_rnw), .I3(m_ready_d_0[1]), .O(m_axi_arvalid[1])); - (* SOFT_HLUTNM = "soft_lutpair22" *) + (* SOFT_HLUTNM = "soft_lutpair19" *) LUT4 #( .INIT(16'h0080)) \m_axi_arvalid[2]_INST_0 (.I0(\m_atarget_hot_reg[8] [2]), - .I1(aa_grant_rnw), - .I2(m_valid_i), + .I1(m_valid_i), + .I2(aa_grant_rnw), .I3(m_ready_d_0[1]), .O(m_axi_arvalid[2])); - (* SOFT_HLUTNM = "soft_lutpair20" *) + (* SOFT_HLUTNM = "soft_lutpair23" *) LUT4 #( .INIT(16'h0080)) - \m_axi_arvalid[4]_INST_0 + \m_axi_arvalid[3]_INST_0 (.I0(\m_atarget_hot_reg[8] [3]), - .I1(aa_grant_rnw), - .I2(m_valid_i), + .I1(m_valid_i), + .I2(aa_grant_rnw), .I3(m_ready_d_0[1]), .O(m_axi_arvalid[3])); - (* SOFT_HLUTNM = "soft_lutpair19" *) + (* SOFT_HLUTNM = "soft_lutpair21" *) LUT4 #( .INIT(16'h0080)) - \m_axi_arvalid[5]_INST_0 + \m_axi_arvalid[4]_INST_0 (.I0(\m_atarget_hot_reg[8] [4]), - .I1(aa_grant_rnw), - .I2(m_valid_i), + .I1(m_valid_i), + .I2(aa_grant_rnw), .I3(m_ready_d_0[1]), .O(m_axi_arvalid[4])); - (* SOFT_HLUTNM = "soft_lutpair16" *) + (* SOFT_HLUTNM = "soft_lutpair20" *) LUT4 #( .INIT(16'h0080)) - \m_axi_arvalid[6]_INST_0 + \m_axi_arvalid[5]_INST_0 (.I0(\m_atarget_hot_reg[8] [5]), - .I1(aa_grant_rnw), - .I2(m_valid_i), + .I1(m_valid_i), + .I2(aa_grant_rnw), .I3(m_ready_d_0[1]), .O(m_axi_arvalid[5])); (* SOFT_HLUTNM = "soft_lutpair18" *) LUT4 #( .INIT(16'h0080)) - \m_axi_arvalid[7]_INST_0 + \m_axi_arvalid[6]_INST_0 (.I0(\m_atarget_hot_reg[8] [6]), - .I1(aa_grant_rnw), - .I2(m_valid_i), + .I1(m_valid_i), + .I2(aa_grant_rnw), .I3(m_ready_d_0[1]), .O(m_axi_arvalid[6])); - (* SOFT_HLUTNM = "soft_lutpair23" *) + (* SOFT_HLUTNM = "soft_lutpair15" *) + LUT4 #( + .INIT(16'h0080)) + \m_axi_arvalid[7]_INST_0 + (.I0(\m_atarget_hot_reg[8] [7]), + .I1(m_valid_i), + .I2(aa_grant_rnw), + .I3(m_ready_d_0[1]), + .O(m_axi_arvalid[7])); + (* SOFT_HLUTNM = "soft_lutpair22" *) LUT4 #( .INIT(16'h0020)) \m_axi_awvalid[0]_INST_0 @@ -1569,7 +1575,7 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I2(m_valid_i), .I3(m_ready_d[2]), .O(m_axi_awvalid[0])); - (* SOFT_HLUTNM = "soft_lutpair21" *) + (* SOFT_HLUTNM = "soft_lutpair24" *) LUT4 #( .INIT(16'h0020)) \m_axi_awvalid[1]_INST_0 @@ -1578,7 +1584,7 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I2(m_valid_i), .I3(m_ready_d[2]), .O(m_axi_awvalid[1])); - (* SOFT_HLUTNM = "soft_lutpair22" *) + (* SOFT_HLUTNM = "soft_lutpair19" *) LUT4 #( .INIT(16'h0020)) \m_axi_awvalid[2]_INST_0 @@ -1587,28 +1593,28 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I2(m_valid_i), .I3(m_ready_d[2]), .O(m_axi_awvalid[2])); - (* SOFT_HLUTNM = "soft_lutpair20" *) + (* SOFT_HLUTNM = "soft_lutpair23" *) LUT4 #( .INIT(16'h0020)) - \m_axi_awvalid[4]_INST_0 + \m_axi_awvalid[3]_INST_0 (.I0(\m_atarget_hot_reg[8] [3]), .I1(aa_grant_rnw), .I2(m_valid_i), .I3(m_ready_d[2]), .O(m_axi_awvalid[3])); - (* SOFT_HLUTNM = "soft_lutpair19" *) + (* SOFT_HLUTNM = "soft_lutpair21" *) LUT4 #( .INIT(16'h0020)) - \m_axi_awvalid[5]_INST_0 + \m_axi_awvalid[4]_INST_0 (.I0(\m_atarget_hot_reg[8] [4]), .I1(aa_grant_rnw), .I2(m_valid_i), .I3(m_ready_d[2]), .O(m_axi_awvalid[4])); - (* SOFT_HLUTNM = "soft_lutpair16" *) + (* SOFT_HLUTNM = "soft_lutpair20" *) LUT4 #( .INIT(16'h0020)) - \m_axi_awvalid[6]_INST_0 + \m_axi_awvalid[5]_INST_0 (.I0(\m_atarget_hot_reg[8] [5]), .I1(aa_grant_rnw), .I2(m_valid_i), @@ -1617,78 +1623,95 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd (* SOFT_HLUTNM = "soft_lutpair18" *) LUT4 #( .INIT(16'h0020)) - \m_axi_awvalid[7]_INST_0 + \m_axi_awvalid[6]_INST_0 (.I0(\m_atarget_hot_reg[8] [6]), .I1(aa_grant_rnw), .I2(m_valid_i), .I3(m_ready_d[2]), .O(m_axi_awvalid[6])); + (* SOFT_HLUTNM = "soft_lutpair15" *) + LUT4 #( + .INIT(16'h0020)) + \m_axi_awvalid[7]_INST_0 + (.I0(\m_atarget_hot_reg[8] [7]), + .I1(aa_grant_rnw), + .I2(m_valid_i), + .I3(m_ready_d[2]), + .O(m_axi_awvalid[7])); + (* SOFT_HLUTNM = "soft_lutpair9" *) LUT5 #( - .INIT(32'h00000800)) + .INIT(32'h00200000)) \m_axi_bready[0]_INST_0 (.I0(\m_atarget_hot_reg[8] [0]), - .I1(s_axi_bready), - .I2(m_ready_d[0]), - .I3(m_valid_i), - .I4(aa_grant_rnw), + .I1(m_ready_d[0]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_bready), .O(m_axi_bready[0])); LUT5 #( - .INIT(32'h00000800)) + .INIT(32'h00200000)) \m_axi_bready[1]_INST_0 (.I0(\m_atarget_hot_reg[8] [1]), - .I1(s_axi_bready), - .I2(m_ready_d[0]), - .I3(m_valid_i), - .I4(aa_grant_rnw), + .I1(m_ready_d[0]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_bready), .O(m_axi_bready[1])); LUT5 #( - .INIT(32'h00000800)) + .INIT(32'h00200000)) \m_axi_bready[2]_INST_0 (.I0(\m_atarget_hot_reg[8] [2]), - .I1(s_axi_bready), - .I2(m_ready_d[0]), - .I3(m_valid_i), - .I4(aa_grant_rnw), + .I1(m_ready_d[0]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_bready), .O(m_axi_bready[2])); LUT5 #( - .INIT(32'h00000800)) - \m_axi_bready[4]_INST_0 + .INIT(32'h00200000)) + \m_axi_bready[3]_INST_0 (.I0(\m_atarget_hot_reg[8] [3]), - .I1(s_axi_bready), - .I2(m_ready_d[0]), - .I3(m_valid_i), - .I4(aa_grant_rnw), + .I1(m_ready_d[0]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_bready), .O(m_axi_bready[3])); - (* SOFT_HLUTNM = "soft_lutpair10" *) LUT5 #( - .INIT(32'h00000800)) - \m_axi_bready[5]_INST_0 + .INIT(32'h00200000)) + \m_axi_bready[4]_INST_0 (.I0(\m_atarget_hot_reg[8] [4]), - .I1(s_axi_bready), - .I2(m_ready_d[0]), - .I3(m_valid_i), - .I4(aa_grant_rnw), + .I1(m_ready_d[0]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_bready), .O(m_axi_bready[4])); LUT5 #( - .INIT(32'h00000800)) - \m_axi_bready[6]_INST_0 + .INIT(32'h00200000)) + \m_axi_bready[5]_INST_0 (.I0(\m_atarget_hot_reg[8] [5]), - .I1(s_axi_bready), - .I2(m_ready_d[0]), - .I3(m_valid_i), - .I4(aa_grant_rnw), + .I1(m_ready_d[0]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_bready), .O(m_axi_bready[5])); - (* SOFT_HLUTNM = "soft_lutpair5" *) LUT5 #( - .INIT(32'h00000800)) - \m_axi_bready[7]_INST_0 + .INIT(32'h00200000)) + \m_axi_bready[6]_INST_0 (.I0(\m_atarget_hot_reg[8] [6]), - .I1(s_axi_bready), - .I2(m_ready_d[0]), - .I3(m_valid_i), - .I4(aa_grant_rnw), + .I1(m_ready_d[0]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_bready), .O(m_axi_bready[6])); - (* SOFT_HLUTNM = "soft_lutpair0" *) + (* SOFT_HLUTNM = "soft_lutpair10" *) + LUT5 #( + .INIT(32'h00200000)) + \m_axi_bready[7]_INST_0 + (.I0(\m_atarget_hot_reg[8] [7]), + .I1(m_ready_d[0]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_bready), + .O(m_axi_bready[7])); LUT5 #( .INIT(32'h00200000)) \m_axi_wvalid[0]_INST_0 @@ -1707,7 +1730,7 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I3(aa_grant_rnw), .I4(s_axi_wvalid), .O(m_axi_wvalid[1])); - (* SOFT_HLUTNM = "soft_lutpair1" *) + (* SOFT_HLUTNM = "soft_lutpair6" *) LUT5 #( .INIT(32'h00200000)) \m_axi_wvalid[2]_INST_0 @@ -1717,10 +1740,10 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I3(aa_grant_rnw), .I4(s_axi_wvalid), .O(m_axi_wvalid[2])); - (* SOFT_HLUTNM = "soft_lutpair8" *) + (* SOFT_HLUTNM = "soft_lutpair2" *) LUT5 #( .INIT(32'h00200000)) - \m_axi_wvalid[4]_INST_0 + \m_axi_wvalid[3]_INST_0 (.I0(\m_atarget_hot_reg[8] [3]), .I1(m_ready_d[1]), .I2(m_valid_i), @@ -1729,17 +1752,17 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .O(m_axi_wvalid[3])); LUT5 #( .INIT(32'h00200000)) - \m_axi_wvalid[5]_INST_0 + \m_axi_wvalid[4]_INST_0 (.I0(\m_atarget_hot_reg[8] [4]), .I1(m_ready_d[1]), .I2(m_valid_i), .I3(aa_grant_rnw), .I4(s_axi_wvalid), .O(m_axi_wvalid[4])); - (* SOFT_HLUTNM = "soft_lutpair9" *) + (* SOFT_HLUTNM = "soft_lutpair1" *) LUT5 #( .INIT(32'h00200000)) - \m_axi_wvalid[6]_INST_0 + \m_axi_wvalid[5]_INST_0 (.I0(\m_atarget_hot_reg[8] [5]), .I1(m_ready_d[1]), .I2(m_valid_i), @@ -1748,21 +1771,31 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .O(m_axi_wvalid[5])); LUT5 #( .INIT(32'h00200000)) - \m_axi_wvalid[7]_INST_0 + \m_axi_wvalid[6]_INST_0 (.I0(\m_atarget_hot_reg[8] [6]), .I1(m_ready_d[1]), .I2(m_valid_i), .I3(aa_grant_rnw), .I4(s_axi_wvalid), .O(m_axi_wvalid[6])); - (* SOFT_HLUTNM = "soft_lutpair7" *) + (* SOFT_HLUTNM = "soft_lutpair4" *) + LUT5 #( + .INIT(32'h00200000)) + \m_axi_wvalid[7]_INST_0 + (.I0(\m_atarget_hot_reg[8] [7]), + .I1(m_ready_d[1]), + .I2(m_valid_i), + .I3(aa_grant_rnw), + .I4(s_axi_wvalid), + .O(m_axi_wvalid[7])); + (* SOFT_HLUTNM = "soft_lutpair5" *) LUT5 #( - .INIT(32'h4000FFFF)) + .INIT(32'h0080FFFF)) \m_payload_i[34]_i_1 - (.I0(m_ready_d_0[0]), + (.I0(s_axi_rready), .I1(m_valid_i), .I2(aa_grant_rnw), - .I3(s_axi_rready), + .I3(m_ready_d_0[0]), .I4(sr_rvalid), .O(E)); LUT6 #( @@ -1774,15 +1807,15 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I3(m_axi_arready[5]), .I4(m_atarget_enc[2]), .I5(m_axi_arready[1]), - .O(\gen_no_arbiter.m_valid_i_reg_0 )); - (* SOFT_HLUTNM = "soft_lutpair24" *) + .O(\m_ready_d_reg[0]_1 )); + (* SOFT_HLUTNM = "soft_lutpair25" *) LUT3 #( - .INIT(8'hB8)) + .INIT(8'h35)) \m_ready_d[1]_i_6 - (.I0(m_axi_arready[6]), - .I1(m_atarget_enc[2]), - .I2(m_axi_arready[2]), - .O(\m_ready_d_reg[0]_2 )); + (.I0(m_axi_arready[2]), + .I1(m_axi_arready[6]), + .I2(m_atarget_enc[2]), + .O(\m_ready_d_reg[0]_3 )); LUT4 #( .INIT(16'h3B38)) \m_ready_d[1]_i_7 @@ -1790,90 +1823,83 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_axi_arready[0]), - .O(\m_ready_d_reg[0]_1 )); - (* SOFT_HLUTNM = "soft_lutpair5" *) - LUT4 #( - .INIT(16'hFBFF)) - \m_ready_d[2]_i_2 - (.I0(aa_grant_rnw), - .I1(m_valid_i), - .I2(m_ready_d[0]), - .I3(s_axi_bready), - .O(\gen_axilite.s_axi_bvalid_i_reg )); - LUT6 #( - .INIT(64'h0000155555555555)) - \m_ready_d[2]_i_4 - (.I0(m_ready_d[1]), - .I1(m_atarget_enc[0]), - .I2(\m_ready_d[2]_i_9_n_0 ), - .I3(\s_axi_wready[0]_INST_0_i_2_n_0 ), - .I4(\gen_axilite.s_axi_awready_i_reg_0 ), - .I5(\gen_axilite.s_axi_bvalid_i_i_2_n_0 ), - .O(\m_ready_d_reg[2]_1 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \m_ready_d[2]_i_5 - (.I0(m_axi_awready[7]), - .I1(m_axi_awready[3]), - .I2(m_atarget_enc[1]), - .I3(m_axi_awready[5]), - .I4(m_atarget_enc[2]), - .I5(m_axi_awready[1]), - .O(\m_ready_d_reg[0] )); - LUT5 #( - .INIT(32'h0000E200)) - \m_ready_d[2]_i_7 - (.I0(m_axi_awready[2]), - .I1(m_atarget_enc[2]), - .I2(m_axi_awready[6]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .O(\m_ready_d_reg[0]_3 )); - (* SOFT_HLUTNM = "soft_lutpair13" *) + .O(\m_ready_d_reg[0]_4 )); + (* SOFT_HLUTNM = "soft_lutpair14" *) LUT4 #( .INIT(16'hFFEF)) - \m_ready_d[2]_i_8 + \m_ready_d[2]_i_10 (.I0(m_atarget_enc[3]), .I1(aa_grant_rnw), .I2(m_valid_i), .I3(m_ready_d[2]), .O(\m_ready_d_reg[0]_0 )); - (* SOFT_HLUTNM = "soft_lutpair14" *) + (* SOFT_HLUTNM = "soft_lutpair9" *) LUT4 #( - .INIT(16'h0010)) - \m_ready_d[2]_i_9 - (.I0(m_atarget_enc[3]), + .INIT(16'h0020)) + \m_ready_d[2]_i_2 + (.I0(s_axi_bready), .I1(aa_grant_rnw), .I2(m_valid_i), - .I3(m_ready_d[1]), - .O(\m_ready_d[2]_i_9_n_0 )); + .I3(m_ready_d[0]), + .O(\gen_axilite.s_axi_bvalid_i_reg )); LUT6 #( - .INIT(64'h80B0B3B380B08080)) - m_valid_i_i_2 - (.I0(\m_atarget_enc_reg[1]_2 ), + .INIT(64'h0000155555555555)) + \m_ready_d[2]_i_3 + (.I0(m_ready_d[1]), .I1(m_atarget_enc[0]), - .I2(m_valid_i_i_4_n_0), - .I3(\m_atarget_enc_reg[2]_1 ), - .I4(m_atarget_enc[1]), - .I5(\m_atarget_enc_reg[2]_2 ), - .O(s_ready_i_reg)); - (* SOFT_HLUTNM = "soft_lutpair14" *) + .I2(\m_ready_d[2]_i_5_n_0 ), + .I3(\m_ready_d_reg[2] ), + .I4(\m_ready_d[2]_i_6_n_0 ), + .I5(\gen_axilite.s_axi_bvalid_i_reg_0 ), + .O(\m_ready_d_reg[2]_2 )); + (* SOFT_HLUTNM = "soft_lutpair16" *) LUT4 #( - .INIT(16'h0040)) - m_valid_i_i_4 + .INIT(16'h0010)) + \m_ready_d[2]_i_5 (.I0(m_atarget_enc[3]), .I1(aa_grant_rnw), .I2(m_valid_i), - .I3(m_ready_d_0[0]), - .O(m_valid_i_i_4_n_0)); - (* SOFT_HLUTNM = "soft_lutpair7" *) - LUT3 #( - .INIT(8'hBF)) - m_valid_i_i_7 - (.I0(m_ready_d_0[0]), - .I1(m_valid_i), + .I3(m_ready_d[1]), + .O(\m_ready_d[2]_i_5_n_0 )); + LUT6 #( + .INIT(64'h0101330301010101)) + \m_ready_d[2]_i_6 + (.I0(\m_atarget_enc_reg[0]_1 ), + .I1(\m_ready_d_reg[2]_3 ), + .I2(m_atarget_enc[3]), + .I3(mi_wready), + .I4(\m_atarget_enc_reg[0]_2 ), + .I5(\m_atarget_enc_reg[2]_0 ), + .O(\m_ready_d[2]_i_6_n_0 )); + LUT6 #( + .INIT(64'hAFA0CFCFAFA0C0C0)) + \m_ready_d[2]_i_7 + (.I0(m_axi_awready[7]), + .I1(m_axi_awready[3]), + .I2(m_atarget_enc[1]), + .I3(m_axi_awready[5]), + .I4(m_atarget_enc[2]), + .I5(m_axi_awready[1]), + .O(\m_ready_d_reg[0] )); + LUT5 #( + .INIT(32'h44400040)) + \m_ready_d[2]_i_9 + (.I0(m_atarget_enc[0]), + .I1(m_atarget_enc[1]), + .I2(m_axi_awready[2]), + .I3(m_atarget_enc[2]), + .I4(m_axi_awready[6]), + .O(\m_ready_d_reg[0]_2 )); + (* SOFT_HLUTNM = "soft_lutpair5" *) + LUT5 #( + .INIT(32'h8AAAAAAA)) + m_valid_i_i_3 + (.I0(sr_rvalid), + .I1(m_ready_d_0[0]), .I2(aa_grant_rnw), - .O(s_ready_i_reg_0)); + .I3(m_valid_i), + .I4(s_axi_rready), + .O(m_valid_i_reg)); (* SOFT_HLUTNM = "soft_lutpair17" *) LUT4 #( .INIT(16'h0040)) @@ -1905,11 +1931,12 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .D(\s_awvalid_reg[0]_i_1_n_0 ), .Q(s_awvalid_reg), .R(1'b0)); + (* SOFT_HLUTNM = "soft_lutpair26" *) LUT2 #( .INIT(4'h8)) \s_axi_arready[0]_INST_0 - (.I0(s_ready_i), - .I1(aa_grant_rnw), + (.I0(aa_grant_rnw), + .I1(s_ready_i), .O(s_axi_arready)); (* SOFT_HLUTNM = "soft_lutpair17" *) LUT2 #( @@ -1918,12 +1945,12 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd (.I0(s_ready_i), .I1(aa_grant_rnw), .O(s_axi_awready)); - (* SOFT_HLUTNM = "soft_lutpair27" *) + (* SOFT_HLUTNM = "soft_lutpair28" *) LUT2 #( .INIT(4'h2)) \s_axi_bvalid[0]_INST_0 (.I0(aa_grant_any), - .I1(\m_ready_d_reg[2] ), + .I1(\m_ready_d_reg[2]_0 ), .O(s_axi_bvalid)); LUT6 #( .INIT(64'h4F7F7F7F4F7F4C4C)) @@ -1931,11 +1958,11 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd (.I0(\m_atarget_enc_reg[1] ), .I1(m_atarget_enc[0]), .I2(\s_axi_bvalid[0]_INST_0_i_3_n_0 ), - .I3(\m_ready_d_reg[2]_0 ), + .I3(\m_ready_d_reg[2]_1 ), .I4(m_atarget_enc[1]), .I5(\m_atarget_enc_reg[2] ), - .O(\m_ready_d_reg[2] )); - (* SOFT_HLUTNM = "soft_lutpair13" *) + .O(\m_ready_d_reg[2]_0 )); + (* SOFT_HLUTNM = "soft_lutpair14" *) LUT4 #( .INIT(16'h0010)) \s_axi_bvalid[0]_INST_0_i_3 @@ -1944,14 +1971,14 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I2(m_valid_i), .I3(m_ready_d[0]), .O(\s_axi_bvalid[0]_INST_0_i_3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair24" *) + (* SOFT_HLUTNM = "soft_lutpair25" *) LUT3 #( .INIT(8'hB8)) \s_axi_bvalid[0]_INST_0_i_4 (.I0(m_axi_bvalid[3]), .I1(m_atarget_enc[2]), .I2(m_axi_bvalid[1]), - .O(\m_ready_d_reg[2]_0 )); + .O(\m_ready_d_reg[2]_1 )); (* SOFT_HLUTNM = "soft_lutpair10" *) LUT3 #( .INIT(8'hFB)) @@ -1960,30 +1987,12 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I1(m_valid_i), .I2(aa_grant_rnw), .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_0 )); - (* SOFT_HLUTNM = "soft_lutpair28" *) - LUT2 #( - .INIT(4'h8)) - \s_axi_rvalid[0]_INST_0 - (.I0(aa_grant_any), - .I1(sr_rvalid), - .O(s_axi_rvalid)); - (* SOFT_HLUTNM = "soft_lutpair28" *) LUT2 #( .INIT(4'h2)) \s_axi_wready[0]_INST_0 (.I0(aa_grant_any), - .I1(\s_axi_wready[0]_INST_0_i_1_n_0 ), + .I1(\m_atarget_enc_reg[0]_0 ), .O(s_axi_wready)); - LUT6 #( - .INIT(64'h00000000FFF7FFF0)) - \s_axi_wready[0]_INST_0_i_1 - (.I0(m_atarget_enc[0]), - .I1(\s_axi_wready[0]_INST_0_i_2_n_0 ), - .I2(\gen_no_arbiter.m_grant_hot_i_reg[0]_1 ), - .I3(m_atarget_enc[3]), - .I4(\m_atarget_enc_reg[2]_0 ), - .I5(\m_atarget_enc_reg[3]_1 ), - .O(\s_axi_wready[0]_INST_0_i_1_n_0 )); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) \s_axi_wready[0]_INST_0_i_2 @@ -1993,22 +2002,22 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd .I3(m_axi_wready[2]), .I4(m_atarget_enc[2]), .I5(m_axi_wready[0]), - .O(\s_axi_wready[0]_INST_0_i_2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair1" *) + .O(\m_ready_d_reg[2] )); + (* SOFT_HLUTNM = "soft_lutpair2" *) LUT3 #( .INIT(8'hFB)) - \s_axi_wready[0]_INST_0_i_3 + \s_axi_wready[0]_INST_0_i_4 (.I0(m_ready_d[1]), .I1(m_valid_i), .I2(aa_grant_rnw), - .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_1 )); + .O(\m_ready_d_reg[2]_3 )); endmodule (* C_AXI_ADDR_WIDTH = "32" *) (* C_AXI_ARUSER_WIDTH = "1" *) (* C_AXI_AWUSER_WIDTH = "1" *) (* C_AXI_BUSER_WIDTH = "1" *) (* C_AXI_DATA_WIDTH = "32" *) (* C_AXI_ID_WIDTH = "1" *) (* C_AXI_PROTOCOL = "2" *) (* C_AXI_RUSER_WIDTH = "1" *) (* C_AXI_SUPPORTS_USER_SIGNALS = "0" *) (* C_AXI_WUSER_WIDTH = "1" *) (* C_CONNECTIVITY_MODE = "0" *) (* C_DEBUG = "1" *) -(* C_FAMILY = "zynq" *) (* C_M_AXI_ADDR_WIDTH = "256'b0000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000" *) (* C_M_AXI_BASE_ADDR = "512'b00000000000000000000000000000000010000101100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000100001111000100000000000000000000000000000000000000000000000000010000111100010100000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000100001111000011000000000000000000000000000000000000000000000000010000111100000100000000000000000000000000000000000000000000000001000011110000000000000000000000" *) +(* C_FAMILY = "zynq" *) (* C_M_AXI_ADDR_WIDTH = "256'b0000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000" *) (* C_M_AXI_BASE_ADDR = "512'b00000000000000000000000000000000010000101100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000100001111000100000000000000000000000000000000000000000000000000010000111100010100000000000000000000000000000000000000000000000001000011110000100000000000000000000000000000000000000000000000000100001111000011000000000000000000000000000000000000000000000000010000111100000100000000000000000000000000000000000000000000000001000011110000000000000000000000" *) (* C_M_AXI_READ_CONNECTIVITY = "256'b0000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001" *) (* C_M_AXI_READ_ISSUING = "256'b0000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001" *) (* C_M_AXI_SECURE = "256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" *) (* C_M_AXI_WRITE_CONNECTIVITY = "256'b0000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001" *) (* C_M_AXI_WRITE_ISSUING = "256'b0000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001" *) (* C_NUM_ADDR_RANGES = "1" *) (* C_NUM_MASTER_SLOTS = "8" *) (* C_NUM_SLAVE_SLOTS = "1" *) (* C_R_REGISTER = "1" *) @@ -2209,19 +2218,19 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar wire [15:0]\^m_axi_araddr ; wire [2:0]\^m_axi_arprot ; wire [7:0]m_axi_arready; - wire [7:0]\^m_axi_arvalid ; + wire [7:0]m_axi_arvalid; wire [255:240]\^m_axi_awaddr ; wire [7:0]m_axi_awready; - wire [7:0]\^m_axi_awvalid ; - wire [7:0]\^m_axi_bready ; + wire [7:0]m_axi_awvalid; + wire [7:0]m_axi_bready; wire [15:0]m_axi_bresp; wire [7:0]m_axi_bvalid; wire [255:0]m_axi_rdata; - wire [7:0]\^m_axi_rready ; + wire [7:0]m_axi_rready; wire [15:0]m_axi_rresp; wire [7:0]m_axi_rvalid; wire [7:0]m_axi_wready; - wire [7:0]\^m_axi_wvalid ; + wire [7:0]m_axi_wvalid; wire [31:0]s_axi_araddr; wire [2:0]s_axi_arprot; wire [0:0]s_axi_arready; @@ -2490,9 +2499,6 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar assign m_axi_aruser[2] = \<const0> ; assign m_axi_aruser[1] = \<const0> ; assign m_axi_aruser[0] = \<const0> ; - assign m_axi_arvalid[7:4] = \^m_axi_arvalid [7:4]; - assign m_axi_arvalid[3] = \<const0> ; - assign m_axi_arvalid[2:0] = \^m_axi_arvalid [2:0]; assign m_axi_awaddr[255:240] = \^m_axi_awaddr [255:240]; assign m_axi_awaddr[239:224] = \^m_axi_araddr [15:0]; assign m_axi_awaddr[223:208] = \^m_axi_awaddr [255:240]; @@ -2741,15 +2747,6 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar assign m_axi_awuser[2] = \<const0> ; assign m_axi_awuser[1] = \<const0> ; assign m_axi_awuser[0] = \<const0> ; - assign m_axi_awvalid[7:4] = \^m_axi_awvalid [7:4]; - assign m_axi_awvalid[3] = \<const0> ; - assign m_axi_awvalid[2:0] = \^m_axi_awvalid [2:0]; - assign m_axi_bready[7:4] = \^m_axi_bready [7:4]; - assign m_axi_bready[3] = \<const0> ; - assign m_axi_bready[2:0] = \^m_axi_bready [2:0]; - assign m_axi_rready[7:4] = \^m_axi_rready [7:4]; - assign m_axi_rready[3] = \<const0> ; - assign m_axi_rready[2:0] = \^m_axi_rready [2:0]; assign m_axi_wdata[255:224] = s_axi_wdata; assign m_axi_wdata[223:192] = s_axi_wdata; assign m_axi_wdata[191:160] = s_axi_wdata; @@ -2790,9 +2787,6 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar assign m_axi_wuser[2] = \<const0> ; assign m_axi_wuser[1] = \<const0> ; assign m_axi_wuser[0] = \<const0> ; - assign m_axi_wvalid[7:4] = \^m_axi_wvalid [7:4]; - assign m_axi_wvalid[3] = \<const0> ; - assign m_axi_wvalid[2:0] = \^m_axi_wvalid [2:0]; assign s_axi_bid[0] = \<const0> ; assign s_axi_buser[0] = \<const0> ; assign s_axi_rid[0] = \<const0> ; @@ -2805,18 +2799,18 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar .aclk(aclk), .aresetn(aresetn), .m_axi_arready(m_axi_arready), - .m_axi_arvalid({\^m_axi_arvalid [7:4],\^m_axi_arvalid [2:0]}), + .m_axi_arvalid(m_axi_arvalid), .m_axi_awready(m_axi_awready), - .m_axi_awvalid({\^m_axi_awvalid [7:4],\^m_axi_awvalid [2:0]}), - .m_axi_bready({\^m_axi_bready [7:4],\^m_axi_bready [2:0]}), + .m_axi_awvalid(m_axi_awvalid), + .m_axi_bready(m_axi_bready), .m_axi_bresp(m_axi_bresp), .m_axi_bvalid(m_axi_bvalid), .m_axi_rdata(m_axi_rdata), - .m_axi_rready({\^m_axi_rready [7:4],\^m_axi_rready [2:0]}), + .m_axi_rready(m_axi_rready), .m_axi_rresp(m_axi_rresp), .m_axi_rvalid(m_axi_rvalid), .m_axi_wready(m_axi_wready), - .m_axi_wvalid({\^m_axi_wvalid [7:4],\^m_axi_wvalid [2:0]}), + .m_axi_wvalid(m_axi_wvalid), .s_axi_araddr(s_axi_araddr), .s_axi_arprot(s_axi_arprot), .s_axi_arready(s_axi_arready), @@ -2857,12 +2851,12 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd m_axi_wready, s_axi_wvalid, m_axi_awready, - m_axi_rvalid, s_axi_rready, - m_axi_arready, m_axi_rresp, m_axi_bresp, m_axi_rdata, + m_axi_rvalid, + m_axi_arready, s_axi_arprot, s_axi_arvalid, s_axi_awprot, @@ -2872,16 +2866,16 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd output [34:0]Q; output [33:0]\s_axi_rdata[31] ; output [0:0]s_axi_bvalid; - output [6:0]m_axi_bready; + output [7:0]m_axi_bready; output [0:0]s_axi_wready; - output [6:0]m_axi_wvalid; - output [6:0]m_axi_awvalid; - output [6:0]m_axi_arvalid; + output [7:0]m_axi_wvalid; + output [7:0]m_axi_awvalid; + output [7:0]m_axi_arvalid; output [1:0]s_axi_bresp; output [0:0]s_axi_awready; output [0:0]s_axi_arready; output [0:0]s_axi_rvalid; - output [6:0]m_axi_rready; + output [7:0]m_axi_rready; input aresetn; input aclk; input [7:0]m_axi_bvalid; @@ -2889,12 +2883,12 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd input [7:0]m_axi_wready; input [0:0]s_axi_wvalid; input [7:0]m_axi_awready; - input [7:0]m_axi_rvalid; input [0:0]s_axi_rready; - input [7:0]m_axi_arready; input [15:0]m_axi_rresp; input [15:0]m_axi_bresp; input [255:0]m_axi_rdata; + input [7:0]m_axi_rvalid; + input [7:0]m_axi_arready; input [2:0]s_axi_arprot; input [0:0]s_axi_arvalid; input [2:0]s_axi_awprot; @@ -2903,39 +2897,42 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd input [0:0]s_axi_awvalid; wire [34:0]Q; + wire aa_grant_any; wire aa_grant_rnw; wire aa_rready; wire aclk; - wire addr_arbiter_inst_n_10; - wire addr_arbiter_inst_n_101; wire addr_arbiter_inst_n_103; wire addr_arbiter_inst_n_104; - wire addr_arbiter_inst_n_105; - wire addr_arbiter_inst_n_11; - wire addr_arbiter_inst_n_12; + wire addr_arbiter_inst_n_106; + wire addr_arbiter_inst_n_107; + wire addr_arbiter_inst_n_108; + wire addr_arbiter_inst_n_109; + wire addr_arbiter_inst_n_110; + wire addr_arbiter_inst_n_113; wire addr_arbiter_inst_n_13; - wire addr_arbiter_inst_n_3; - wire addr_arbiter_inst_n_50; - wire addr_arbiter_inst_n_51; - wire addr_arbiter_inst_n_52; + wire addr_arbiter_inst_n_16; + wire addr_arbiter_inst_n_17; + wire addr_arbiter_inst_n_18; + wire addr_arbiter_inst_n_19; + wire addr_arbiter_inst_n_4; + wire addr_arbiter_inst_n_5; + wire addr_arbiter_inst_n_56; + wire addr_arbiter_inst_n_57; + wire addr_arbiter_inst_n_58; wire addr_arbiter_inst_n_6; - wire addr_arbiter_inst_n_60; - wire addr_arbiter_inst_n_62; - wire addr_arbiter_inst_n_63; - wire addr_arbiter_inst_n_78; - wire addr_arbiter_inst_n_79; - wire addr_arbiter_inst_n_80; - wire addr_arbiter_inst_n_81; - wire addr_arbiter_inst_n_83; - wire addr_arbiter_inst_n_84; - wire addr_arbiter_inst_n_92; + wire addr_arbiter_inst_n_67; + wire addr_arbiter_inst_n_69; + wire addr_arbiter_inst_n_70; + wire addr_arbiter_inst_n_71; + wire addr_arbiter_inst_n_88; + wire addr_arbiter_inst_n_89; + wire addr_arbiter_inst_n_90; + wire addr_arbiter_inst_n_91; wire addr_arbiter_inst_n_93; wire addr_arbiter_inst_n_94; - wire addr_arbiter_inst_n_95; - wire addr_arbiter_inst_n_96; - wire addr_arbiter_inst_n_97; wire aresetn; wire aresetn_d; + wire f_hot2enc_return0; wire \gen_decerr.decerr_slave_inst_n_2 ; wire \gen_decerr.decerr_slave_inst_n_3 ; wire \gen_decerr.decerr_slave_inst_n_4 ; @@ -2943,39 +2940,37 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd wire \gen_decerr.decerr_slave_inst_n_6 ; wire \gen_decerr.decerr_slave_inst_n_7 ; wire \gen_decerr.decerr_slave_inst_n_8 ; - wire \gen_decerr.decerr_slave_inst_n_9 ; wire [3:0]m_atarget_enc; wire \m_atarget_enc[0]_i_1_n_0 ; wire \m_atarget_enc[1]_i_1_n_0 ; wire \m_atarget_enc[2]_i_1_n_0 ; wire [3:3]m_atarget_enc_comb; wire [8:0]m_atarget_hot; - wire [7:1]m_atarget_hot0; + wire [7:0]m_atarget_hot0; wire [7:0]m_axi_arready; - wire [6:0]m_axi_arvalid; + wire [7:0]m_axi_arvalid; wire [7:0]m_axi_awready; - wire [6:0]m_axi_awvalid; - wire [6:0]m_axi_bready; + wire [7:0]m_axi_awvalid; + wire [7:0]m_axi_bready; wire [15:0]m_axi_bresp; wire [7:0]m_axi_bvalid; wire [255:0]m_axi_rdata; - wire [6:0]m_axi_rready; + wire [7:0]m_axi_rready; wire [15:0]m_axi_rresp; wire [7:0]m_axi_rvalid; wire [7:0]m_axi_wready; - wire [6:0]m_axi_wvalid; + wire [7:0]m_axi_wvalid; wire [1:0]m_ready_d; + wire [0:0]m_ready_d0; wire [2:0]m_ready_d_0; wire m_valid_i; wire [8:8]mi_bvalid; wire [8:8]mi_wready; wire p_1_in; wire reg_slice_r_n_2; - wire reg_slice_r_n_3; wire reg_slice_r_n_4; wire reg_slice_r_n_5; wire reg_slice_r_n_6; - wire reg_slice_r_n_7; wire reset; wire [31:0]s_axi_araddr; wire [2:0]s_axi_arprot; @@ -2989,9 +2984,8 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd wire [1:0]s_axi_bresp; wire \s_axi_bresp[0]_INST_0_i_1_n_0 ; wire \s_axi_bresp[0]_INST_0_i_2_n_0 ; + wire \s_axi_bresp[0]_INST_0_i_3_n_0 ; wire \s_axi_bresp[0]_INST_0_i_4_n_0 ; - wire \s_axi_bresp[0]_INST_0_i_5_n_0 ; - wire \s_axi_bresp[0]_INST_0_i_6_n_0 ; wire \s_axi_bresp[1]_INST_0_i_1_n_0 ; wire \s_axi_bresp[1]_INST_0_i_2_n_0 ; wire \s_axi_bresp[1]_INST_0_i_3_n_0 ; @@ -3005,46 +2999,46 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd wire splitter_aw_n_3; wire splitter_aw_n_4; wire splitter_aw_n_5; - wire splitter_aw_n_6; wire sr_rvalid; system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd addr_arbiter_inst - (.D({addr_arbiter_inst_n_3,m_atarget_hot0[7:6],addr_arbiter_inst_n_6,m_atarget_hot0[4],m_atarget_hot0[2:1],addr_arbiter_inst_n_10}), + (.D({addr_arbiter_inst_n_6,m_atarget_hot0[7:2],addr_arbiter_inst_n_13,m_atarget_hot0[0]}), .E(p_1_in), .Q(Q), .SR(reset), + .aa_grant_any(aa_grant_any), .aa_grant_rnw(aa_grant_rnw), .aclk(aclk), .aresetn_d(aresetn_d), - .\gen_axilite.s_axi_awready_i_reg (addr_arbiter_inst_n_105), - .\gen_axilite.s_axi_awready_i_reg_0 (\gen_decerr.decerr_slave_inst_n_4 ), - .\gen_axilite.s_axi_bvalid_i_reg (addr_arbiter_inst_n_51), - .\gen_axilite.s_axi_bvalid_i_reg_0 (addr_arbiter_inst_n_80), - .\gen_axilite.s_axi_bvalid_i_reg_1 (addr_arbiter_inst_n_104), - .\gen_axilite.s_axi_rvalid_i_reg (addr_arbiter_inst_n_92), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_0 (addr_arbiter_inst_n_60), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_1 (addr_arbiter_inst_n_63), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_2 (addr_arbiter_inst_n_95), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_3 (addr_arbiter_inst_n_97), - .\gen_no_arbiter.m_valid_i_reg_0 (addr_arbiter_inst_n_83), + .f_hot2enc_return0(f_hot2enc_return0), + .\gen_axilite.s_axi_bvalid_i_reg (addr_arbiter_inst_n_57), + .\gen_axilite.s_axi_bvalid_i_reg_0 (addr_arbiter_inst_n_69), + .\gen_axilite.s_axi_bvalid_i_reg_1 (addr_arbiter_inst_n_90), + .\gen_axilite.s_axi_bvalid_i_reg_2 (addr_arbiter_inst_n_113), + .\gen_axilite.s_axi_rvalid_i_reg (addr_arbiter_inst_n_103), + .\gen_no_arbiter.m_grant_hot_i_reg[0]_0 (addr_arbiter_inst_n_67), + .\gen_no_arbiter.m_grant_hot_i_reg[0]_1 (addr_arbiter_inst_n_91), + .\gen_no_arbiter.m_grant_hot_i_reg[0]_2 (addr_arbiter_inst_n_107), + .\gen_no_arbiter.m_grant_hot_i_reg[0]_3 (addr_arbiter_inst_n_108), + .\gen_no_arbiter.m_valid_i_reg_0 (addr_arbiter_inst_n_5), .m_atarget_enc(m_atarget_enc), - .\m_atarget_enc_reg[0] (addr_arbiter_inst_n_101), - .\m_atarget_enc_reg[1] (splitter_aw_n_6), - .\m_atarget_enc_reg[1]_0 (\gen_decerr.decerr_slave_inst_n_2 ), - .\m_atarget_enc_reg[1]_1 (\gen_decerr.decerr_slave_inst_n_9 ), - .\m_atarget_enc_reg[1]_2 (reg_slice_r_n_7), - .\m_atarget_enc_reg[2] (\gen_decerr.decerr_slave_inst_n_3 ), - .\m_atarget_enc_reg[2]_0 (splitter_aw_n_4), - .\m_atarget_enc_reg[2]_1 (reg_slice_r_n_6), - .\m_atarget_enc_reg[2]_2 (\gen_decerr.decerr_slave_inst_n_8 ), - .\m_atarget_enc_reg[3] (m_atarget_enc_comb), + .m_atarget_enc_comb(m_atarget_enc_comb), + .\m_atarget_enc_reg[0] (addr_arbiter_inst_n_16), + .\m_atarget_enc_reg[0]_0 (\gen_decerr.decerr_slave_inst_n_5 ), + .\m_atarget_enc_reg[0]_1 (splitter_aw_n_4), + .\m_atarget_enc_reg[0]_2 (reg_slice_r_n_5), + .\m_atarget_enc_reg[0]_3 (reg_slice_r_n_2), + .\m_atarget_enc_reg[1] (splitter_aw_n_5), + .\m_atarget_enc_reg[1]_0 (\gen_decerr.decerr_slave_inst_n_3 ), + .\m_atarget_enc_reg[1]_1 (\gen_decerr.decerr_slave_inst_n_8 ), + .\m_atarget_enc_reg[2] (\gen_decerr.decerr_slave_inst_n_4 ), + .\m_atarget_enc_reg[2]_0 (splitter_aw_n_3), + .\m_atarget_enc_reg[3] (addr_arbiter_inst_n_17), .\m_atarget_enc_reg[3]_0 (\gen_decerr.decerr_slave_inst_n_6 ), - .\m_atarget_enc_reg[3]_1 (\gen_decerr.decerr_slave_inst_n_5 ), - .\m_atarget_hot_reg[2] (addr_arbiter_inst_n_103), - .\m_atarget_hot_reg[7] (addr_arbiter_inst_n_11), - .\m_atarget_hot_reg[7]_0 (addr_arbiter_inst_n_12), - .\m_atarget_hot_reg[7]_1 (addr_arbiter_inst_n_13), - .\m_atarget_hot_reg[8] ({m_atarget_hot[8:4],m_atarget_hot[2:0]}), + .\m_atarget_hot_reg[1] (addr_arbiter_inst_n_19), + .\m_atarget_hot_reg[4] (addr_arbiter_inst_n_18), + .\m_atarget_hot_reg[7] (addr_arbiter_inst_n_104), + .\m_atarget_hot_reg[8] (m_atarget_hot), .m_axi_arready(m_axi_arready), .m_axi_arvalid(m_axi_arvalid), .m_axi_awready(m_axi_awready), @@ -3054,17 +3048,21 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd .m_axi_wready({m_axi_wready[7],m_axi_wready[5],m_axi_wready[3],m_axi_wready[1]}), .m_axi_wvalid(m_axi_wvalid), .m_ready_d(m_ready_d_0), + .m_ready_d0(m_ready_d0), .m_ready_d_0(m_ready_d), - .\m_ready_d_reg[0] (addr_arbiter_inst_n_78), - .\m_ready_d_reg[0]_0 (addr_arbiter_inst_n_79), - .\m_ready_d_reg[0]_1 (addr_arbiter_inst_n_93), - .\m_ready_d_reg[0]_2 (addr_arbiter_inst_n_94), - .\m_ready_d_reg[0]_3 (addr_arbiter_inst_n_96), - .\m_ready_d_reg[2] (addr_arbiter_inst_n_50), - .\m_ready_d_reg[2]_0 (addr_arbiter_inst_n_52), - .\m_ready_d_reg[2]_1 (addr_arbiter_inst_n_62), + .\m_ready_d_reg[0] (addr_arbiter_inst_n_88), + .\m_ready_d_reg[0]_0 (addr_arbiter_inst_n_89), + .\m_ready_d_reg[0]_1 (addr_arbiter_inst_n_94), + .\m_ready_d_reg[0]_2 (addr_arbiter_inst_n_106), + .\m_ready_d_reg[0]_3 (addr_arbiter_inst_n_109), + .\m_ready_d_reg[0]_4 (addr_arbiter_inst_n_110), + .\m_ready_d_reg[2] (addr_arbiter_inst_n_4), + .\m_ready_d_reg[2]_0 (addr_arbiter_inst_n_56), + .\m_ready_d_reg[2]_1 (addr_arbiter_inst_n_58), + .\m_ready_d_reg[2]_2 (addr_arbiter_inst_n_70), + .\m_ready_d_reg[2]_3 (addr_arbiter_inst_n_71), .m_valid_i(m_valid_i), - .m_valid_i_reg(reg_slice_r_n_2), + .m_valid_i_reg(addr_arbiter_inst_n_93), .mi_bvalid(mi_bvalid), .mi_wready(mi_wready), .s_axi_araddr(s_axi_araddr), @@ -3078,11 +3076,8 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd .s_axi_bready(s_axi_bready), .s_axi_bvalid(s_axi_bvalid), .s_axi_rready(s_axi_rready), - .s_axi_rvalid(s_axi_rvalid), .s_axi_wready(s_axi_wready), .s_axi_wvalid(s_axi_wvalid), - .s_ready_i_reg(addr_arbiter_inst_n_81), - .s_ready_i_reg_0(addr_arbiter_inst_n_84), .sr_rvalid(sr_rvalid)); FDRE #( .INIT(1'b0)) @@ -3098,62 +3093,64 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd .aa_rready(aa_rready), .aclk(aclk), .aresetn_d(aresetn_d), - .\gen_no_arbiter.m_grant_hot_i_reg[0] (\gen_decerr.decerr_slave_inst_n_2 ), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_0 (\gen_decerr.decerr_slave_inst_n_4 ), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_1 (\gen_decerr.decerr_slave_inst_n_6 ), + .\gen_axilite.s_axi_awready_i_reg_0 (addr_arbiter_inst_n_113), + .\gen_no_arbiter.grant_rnw_reg (addr_arbiter_inst_n_69), + .\gen_no_arbiter.m_grant_hot_i_reg[0] (\gen_decerr.decerr_slave_inst_n_3 ), + .\gen_no_arbiter.m_grant_hot_i_reg[0]_0 (\gen_decerr.decerr_slave_inst_n_6 ), + .\gen_no_arbiter.m_valid_i_reg (addr_arbiter_inst_n_91), .m_atarget_enc(m_atarget_enc), - .\m_atarget_enc_reg[0] (splitter_aw_n_3), - .\m_atarget_enc_reg[2] (addr_arbiter_inst_n_52), - .\m_atarget_enc_reg[2]_0 (addr_arbiter_inst_n_97), - .\m_atarget_enc_reg[2]_1 (splitter_aw_n_5), - .\m_atarget_enc_reg[2]_2 (splitter_aw_n_4), - .\m_atarget_enc_reg[2]_3 (addr_arbiter_inst_n_96), - .\m_atarget_enc_reg[2]_4 (addr_arbiter_inst_n_95), - .\m_atarget_enc_reg[2]_5 (addr_arbiter_inst_n_94), - .\m_atarget_enc_reg[2]_6 (addr_arbiter_inst_n_93), - .\m_atarget_hot_reg[8] (addr_arbiter_inst_n_104), - .\m_atarget_hot_reg[8]_0 (addr_arbiter_inst_n_105), + .\m_atarget_enc_reg[0] (splitter_aw_n_4), + .\m_atarget_enc_reg[0]_0 (addr_arbiter_inst_n_106), + .\m_atarget_enc_reg[0]_1 (reg_slice_r_n_5), + .\m_atarget_enc_reg[1] (addr_arbiter_inst_n_4), + .\m_atarget_enc_reg[2] (reg_slice_r_n_6), + .\m_atarget_enc_reg[2]_0 (addr_arbiter_inst_n_58), + .\m_atarget_enc_reg[2]_1 (addr_arbiter_inst_n_108), + .\m_atarget_enc_reg[2]_2 (addr_arbiter_inst_n_107), + .\m_atarget_enc_reg[2]_3 (addr_arbiter_inst_n_109), + .\m_atarget_enc_reg[2]_4 (addr_arbiter_inst_n_110), .m_axi_awready({m_axi_awready[4],m_axi_awready[0]}), .m_axi_bvalid({m_axi_bvalid[4],m_axi_bvalid[0]}), .m_axi_rvalid({m_axi_rvalid[4],m_axi_rvalid[0]}), .m_axi_wready({m_axi_wready[4],m_axi_wready[0]}), - .m_ready_d(m_ready_d_0[2]), + .m_ready_d(m_ready_d[0]), + .m_ready_d_0(m_ready_d_0[2]), .\m_ready_d_reg[0] (\gen_decerr.decerr_slave_inst_n_7 ), - .\m_ready_d_reg[0]_0 (\gen_decerr.decerr_slave_inst_n_9 ), - .\m_ready_d_reg[0]_1 (addr_arbiter_inst_n_60), - .\m_ready_d_reg[0]_2 (addr_arbiter_inst_n_84), - .\m_ready_d_reg[1] (addr_arbiter_inst_n_63), - .\m_ready_d_reg[1]_0 (addr_arbiter_inst_n_92), - .\m_ready_d_reg[2] (\gen_decerr.decerr_slave_inst_n_3 ), - .\m_ready_d_reg[2]_0 (addr_arbiter_inst_n_80), + .\m_ready_d_reg[0]_0 (\gen_decerr.decerr_slave_inst_n_8 ), + .\m_ready_d_reg[0]_1 (addr_arbiter_inst_n_67), + .\m_ready_d_reg[1] (addr_arbiter_inst_n_71), + .\m_ready_d_reg[1]_0 (addr_arbiter_inst_n_103), + .\m_ready_d_reg[2] (\gen_decerr.decerr_slave_inst_n_4 ), + .\m_ready_d_reg[2]_0 (addr_arbiter_inst_n_90), + .m_valid_i_reg(\gen_decerr.decerr_slave_inst_n_2 ), .mi_bvalid(mi_bvalid), .mi_wready(mi_wready), - .\s_axi_wready[0] (\gen_decerr.decerr_slave_inst_n_5 ), - .s_ready_i_reg(\gen_decerr.decerr_slave_inst_n_8 )); - (* SOFT_HLUTNM = "soft_lutpair53" *) - LUT5 #( - .INIT(32'h88888088)) + .\s_axi_wready[0] (\gen_decerr.decerr_slave_inst_n_5 )); + LUT6 #( + .INIT(64'h00000000FFFF0B3A)) \m_atarget_enc[0]_i_1 - (.I0(addr_arbiter_inst_n_101), - .I1(aresetn_d), - .I2(addr_arbiter_inst_n_13), - .I3(addr_arbiter_inst_n_12), - .I4(addr_arbiter_inst_n_11), + (.I0(addr_arbiter_inst_n_18), + .I1(addr_arbiter_inst_n_19), + .I2(Q[16]), + .I3(Q[17]), + .I4(addr_arbiter_inst_n_104), + .I5(addr_arbiter_inst_n_16), .O(\m_atarget_enc[0]_i_1_n_0 )); - LUT3 #( - .INIT(8'hA8)) + (* SOFT_HLUTNM = "soft_lutpair53" *) + LUT4 #( + .INIT(16'hCC08)) \m_atarget_enc[1]_i_1 - (.I0(aresetn_d), - .I1(addr_arbiter_inst_n_13), - .I2(addr_arbiter_inst_n_103), + (.I0(Q[17]), + .I1(aresetn_d), + .I2(addr_arbiter_inst_n_19), + .I3(addr_arbiter_inst_n_17), .O(\m_atarget_enc[1]_i_1_n_0 )); (* SOFT_HLUTNM = "soft_lutpair53" *) - LUT3 #( - .INIT(8'h8A)) + LUT2 #( + .INIT(4'h8)) \m_atarget_enc[2]_i_1 (.I0(aresetn_d), - .I1(addr_arbiter_inst_n_13), - .I2(addr_arbiter_inst_n_12), + .I1(f_hot2enc_return0), .O(\m_atarget_enc[2]_i_1_n_0 )); FDRE \m_atarget_enc_reg[0] (.C(aclk), @@ -3182,13 +3179,13 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd FDRE \m_atarget_hot_reg[0] (.C(aclk), .CE(1'b1), - .D(addr_arbiter_inst_n_10), + .D(m_atarget_hot0[0]), .Q(m_atarget_hot[0]), .R(reset)); FDRE \m_atarget_hot_reg[1] (.C(aclk), .CE(1'b1), - .D(m_atarget_hot0[1]), + .D(addr_arbiter_inst_n_13), .Q(m_atarget_hot[1]), .R(reset)); FDRE \m_atarget_hot_reg[2] @@ -3197,6 +3194,12 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd .D(m_atarget_hot0[2]), .Q(m_atarget_hot[2]), .R(reset)); + FDRE \m_atarget_hot_reg[3] + (.C(aclk), + .CE(1'b1), + .D(m_atarget_hot0[3]), + .Q(m_atarget_hot[3]), + .R(reset)); FDRE \m_atarget_hot_reg[4] (.C(aclk), .CE(1'b1), @@ -3206,7 +3209,7 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd FDRE \m_atarget_hot_reg[5] (.C(aclk), .CE(1'b1), - .D(addr_arbiter_inst_n_6), + .D(m_atarget_hot0[5]), .Q(m_atarget_hot[5]), .R(reset)); FDRE \m_atarget_hot_reg[6] @@ -3224,90 +3227,87 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd FDRE \m_atarget_hot_reg[8] (.C(aclk), .CE(1'b1), - .D(addr_arbiter_inst_n_3), + .D(addr_arbiter_inst_n_6), .Q(m_atarget_hot[8]), .R(reset)); system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice reg_slice_r (.E(p_1_in), - .Q({m_atarget_hot[7:4],m_atarget_hot[2:0]}), + .Q(m_atarget_hot[7:0]), .SR(reset), + .aa_grant_any(aa_grant_any), .aa_grant_rnw(aa_grant_rnw), .aa_rready(aa_rready), .aclk(aclk), + .\gen_no_arbiter.m_valid_i_reg (reg_slice_r_n_2), .m_atarget_enc(m_atarget_enc), - .\m_atarget_enc_reg[0] (addr_arbiter_inst_n_81), + .\m_atarget_enc_reg[1] (addr_arbiter_inst_n_94), + .\m_atarget_enc_reg[1]_0 (\gen_decerr.decerr_slave_inst_n_8 ), + .\m_atarget_enc_reg[3] (\gen_decerr.decerr_slave_inst_n_2 ), + .\m_atarget_enc_reg[3]_0 (addr_arbiter_inst_n_5), .m_axi_rdata(m_axi_rdata), .m_axi_rready(m_axi_rready), .m_axi_rresp(m_axi_rresp), .m_axi_rvalid({m_axi_rvalid[7:5],m_axi_rvalid[3:1]}), - .m_ready_d(m_ready_d[0]), - .\m_ready_d_reg[1] (reg_slice_r_n_2), + .m_ready_d(m_ready_d), + .m_ready_d0(m_ready_d0), .m_valid_i(m_valid_i), + .m_valid_i_reg_0(reg_slice_r_n_5), + .m_valid_i_reg_1(reg_slice_r_n_6), + .m_valid_i_reg_2(addr_arbiter_inst_n_93), .\s_axi_rdata[31] (\s_axi_rdata[31] ), .s_axi_rready(s_axi_rready), - .s_ready_i_reg_0(reg_slice_r_n_6), - .s_ready_i_reg_1(reg_slice_r_n_7), - .\skid_buffer_reg[2]_0 (reg_slice_r_n_3), + .s_axi_rvalid(s_axi_rvalid), .\skid_buffer_reg[3]_0 (reg_slice_r_n_4), - .\skid_buffer_reg[3]_1 (reg_slice_r_n_5), .sr_rvalid(sr_rvalid)); LUT6 #( - .INIT(64'hFFFFFFFFBAFFBABA)) + .INIT(64'hFFFEFFFEFFFFFFFE)) \s_axi_bresp[0]_INST_0 (.I0(\s_axi_bresp[0]_INST_0_i_1_n_0 ), .I1(\s_axi_bresp[0]_INST_0_i_2_n_0 ), - .I2(m_axi_bresp[10]), - .I3(reg_slice_r_n_5), - .I4(m_axi_bresp[12]), - .I5(\s_axi_bresp[0]_INST_0_i_4_n_0 ), + .I2(\s_axi_bresp[0]_INST_0_i_3_n_0 ), + .I3(\s_axi_bresp[0]_INST_0_i_4_n_0 ), + .I4(m_axi_bresp[0]), + .I5(reg_slice_r_n_4), .O(s_axi_bresp[0])); LUT6 #( - .INIT(64'h0000030800000008)) + .INIT(64'h020C000002000000)) \s_axi_bresp[0]_INST_0_i_1 - (.I0(m_axi_bresp[8]), + (.I0(m_axi_bresp[6]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), - .I5(m_axi_bresp[2]), + .I5(m_axi_bresp[12]), .O(\s_axi_bresp[0]_INST_0_i_1_n_0 )); - LUT4 #( - .INIT(16'hFFDF)) + LUT5 #( + .INIT(32'h00140004)) \s_axi_bresp[0]_INST_0_i_2 (.I0(m_atarget_enc[2]), .I1(m_atarget_enc[3]), .I2(m_atarget_enc[0]), .I3(m_atarget_enc[1]), + .I4(m_axi_bresp[2]), .O(\s_axi_bresp[0]_INST_0_i_2_n_0 )); LUT6 #( - .INIT(64'hFFF4FFFFFFF4FFF4)) - \s_axi_bresp[0]_INST_0_i_4 - (.I0(reg_slice_r_n_4), - .I1(m_axi_bresp[0]), - .I2(\s_axi_bresp[0]_INST_0_i_5_n_0 ), - .I3(\s_axi_bresp[0]_INST_0_i_6_n_0 ), - .I4(reg_slice_r_n_3), - .I5(m_axi_bresp[4]), - .O(\s_axi_bresp[0]_INST_0_i_4_n_0 )); + .INIT(64'h0000C20000000200)) + \s_axi_bresp[0]_INST_0_i_3 + (.I0(m_axi_bresp[8]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_bresp[14]), + .O(\s_axi_bresp[0]_INST_0_i_3_n_0 )); LUT6 #( - .INIT(64'h0E00000002000000)) - \s_axi_bresp[0]_INST_0_i_5 - (.I0(m_axi_bresp[6]), + .INIT(64'h0003080000000800)) + \s_axi_bresp[0]_INST_0_i_4 + (.I0(m_axi_bresp[10]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_bresp[14]), - .O(\s_axi_bresp[0]_INST_0_i_5_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair52" *) - LUT4 #( - .INIT(16'h0100)) - \s_axi_bresp[0]_INST_0_i_6 - (.I0(m_atarget_enc[0]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[2]), - .I3(m_atarget_enc[3]), - .O(\s_axi_bresp[0]_INST_0_i_6_n_0 )); + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_bresp[4]), + .O(\s_axi_bresp[0]_INST_0_i_4_n_0 )); LUT6 #( .INIT(64'hFFFEFFFEFFFFFFFE)) \s_axi_bresp[1]_INST_0 @@ -3318,151 +3318,151 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd .I4(m_axi_bresp[1]), .I5(reg_slice_r_n_4), .O(s_axi_bresp[1])); - (* SOFT_HLUTNM = "soft_lutpair52" *) - LUT5 #( - .INIT(32'h10040004)) + LUT6 #( + .INIT(64'h0200030002000000)) \s_axi_bresp[1]_INST_0_i_1 - (.I0(m_atarget_enc[2]), - .I1(m_atarget_enc[3]), - .I2(m_atarget_enc[1]), + (.I0(m_axi_bresp[7]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), - .I4(m_axi_bresp[7]), + .I4(m_atarget_enc[1]), + .I5(m_axi_bresp[3]), .O(\s_axi_bresp[1]_INST_0_i_1_n_0 )); - LUT6 #( - .INIT(64'h0C00080000000800)) + LUT5 #( + .INIT(32'h01800100)) \s_axi_bresp[1]_INST_0_i_2 + (.I0(m_atarget_enc[1]), + .I1(m_atarget_enc[0]), + .I2(m_atarget_enc[2]), + .I3(m_atarget_enc[3]), + .I4(m_axi_bresp[15]), + .O(\s_axi_bresp[1]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h000B000000080000)) + \s_axi_bresp[1]_INST_0_i_3 (.I0(m_axi_bresp[13]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_bresp[15]), - .O(\s_axi_bresp[1]_INST_0_i_2_n_0 )); + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_bresp[5]), + .O(\s_axi_bresp[1]_INST_0_i_3_n_0 )); LUT6 #( .INIT(64'h0000230000002000)) - \s_axi_bresp[1]_INST_0_i_3 + \s_axi_bresp[1]_INST_0_i_4 (.I0(m_axi_bresp[11]), .I1(m_atarget_enc[1]), .I2(m_atarget_enc[0]), .I3(m_atarget_enc[2]), .I4(m_atarget_enc[3]), .I5(m_axi_bresp[9]), - .O(\s_axi_bresp[1]_INST_0_i_3_n_0 )); - LUT6 #( - .INIT(64'h0000002C00000020)) - \s_axi_bresp[1]_INST_0_i_4 - (.I0(m_axi_bresp[3]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_bresp[5]), .O(\s_axi_bresp[1]_INST_0_i_4_n_0 )); system_design_xbar_0_axi_crossbar_v2_1_10_splitter__parameterized0 splitter_ar (.aclk(aclk), .aresetn_d(aresetn_d), .m_atarget_enc({m_atarget_enc[3],m_atarget_enc[0]}), - .\m_atarget_enc_reg[1] (\gen_decerr.decerr_slave_inst_n_9 ), - .\m_atarget_enc_reg[1]_0 (addr_arbiter_inst_n_83), + .\m_atarget_enc_reg[1] (\gen_decerr.decerr_slave_inst_n_8 ), + .\m_atarget_enc_reg[1]_0 (addr_arbiter_inst_n_94), .m_ready_d(m_ready_d), - .\m_ready_d_reg[1]_0 (addr_arbiter_inst_n_92), - .m_valid_i_reg(reg_slice_r_n_2)); + .m_ready_d0(m_ready_d0), + .\m_ready_d_reg[1]_0 (addr_arbiter_inst_n_103)); system_design_xbar_0_axi_crossbar_v2_1_10_splitter splitter_aw (.aclk(aclk), .aresetn_d(aresetn_d), - .\gen_no_arbiter.grant_rnw_reg (addr_arbiter_inst_n_51), - .\gen_no_arbiter.m_grant_hot_i_reg[0] (splitter_aw_n_3), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_0 (splitter_aw_n_4), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_1 (splitter_aw_n_5), - .\gen_no_arbiter.m_grant_hot_i_reg[0]_2 (splitter_aw_n_6), + .\gen_no_arbiter.grant_rnw_reg (addr_arbiter_inst_n_57), + .\gen_no_arbiter.m_grant_hot_i_reg[0] (splitter_aw_n_5), .m_atarget_enc(m_atarget_enc), - .\m_atarget_enc_reg[0] (addr_arbiter_inst_n_50), - .\m_atarget_enc_reg[1] (addr_arbiter_inst_n_78), - .\m_atarget_enc_reg[2] (addr_arbiter_inst_n_96), + .\m_atarget_enc_reg[0] (addr_arbiter_inst_n_106), + .\m_atarget_enc_reg[0]_0 (addr_arbiter_inst_n_56), + .\m_atarget_enc_reg[1] (addr_arbiter_inst_n_88), .\m_atarget_enc_reg[3] (\gen_decerr.decerr_slave_inst_n_7 ), - .\m_atarget_enc_reg[3]_0 (addr_arbiter_inst_n_79), + .\m_atarget_enc_reg[3]_0 (addr_arbiter_inst_n_89), .m_axi_bvalid({m_axi_bvalid[7],m_axi_bvalid[5],m_axi_bvalid[3],m_axi_bvalid[1]}), .m_axi_wready({m_axi_wready[6],m_axi_wready[4],m_axi_wready[2],m_axi_wready[0]}), .m_ready_d(m_ready_d_0), - .\m_ready_d_reg[1]_0 (addr_arbiter_inst_n_62)); + .\m_ready_d_reg[1]_0 (addr_arbiter_inst_n_70), + .\m_ready_d_reg[2]_0 (splitter_aw_n_3), + .\m_ready_d_reg[2]_1 (splitter_aw_n_4)); endmodule (* ORIG_REF_NAME = "axi_crossbar_v2_1_10_decerr_slave" *) module system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave (mi_bvalid, mi_wready, + m_valid_i_reg, \gen_no_arbiter.m_grant_hot_i_reg[0] , \m_ready_d_reg[2] , - \gen_no_arbiter.m_grant_hot_i_reg[0]_0 , \s_axi_wready[0] , - \gen_no_arbiter.m_grant_hot_i_reg[0]_1 , + \gen_no_arbiter.m_grant_hot_i_reg[0]_0 , \m_ready_d_reg[0] , - s_ready_i_reg, \m_ready_d_reg[0]_0 , SR, - \m_atarget_hot_reg[8] , + \gen_axilite.s_axi_awready_i_reg_0 , aclk, - \m_atarget_hot_reg[8]_0 , \m_atarget_enc_reg[2] , m_atarget_enc, + m_ready_d, + \gen_no_arbiter.m_valid_i_reg , + aa_rready, \m_atarget_enc_reg[2]_0 , + \m_atarget_enc_reg[2]_1 , \m_ready_d_reg[0]_1 , m_axi_bvalid, - \m_atarget_enc_reg[0] , - \m_atarget_enc_reg[2]_1 , - \m_atarget_enc_reg[2]_2 , + \m_atarget_enc_reg[1] , \m_ready_d_reg[1] , + \m_atarget_enc_reg[0] , m_axi_wready, - \m_atarget_enc_reg[2]_3 , - \m_atarget_enc_reg[2]_4 , + \m_atarget_enc_reg[0]_0 , + \m_atarget_enc_reg[2]_2 , \m_ready_d_reg[2]_0 , - m_ready_d, + m_ready_d_0, m_axi_awready, - m_axi_rvalid, - \m_ready_d_reg[0]_2 , - \m_atarget_enc_reg[2]_5 , - \m_atarget_enc_reg[2]_6 , + \m_atarget_enc_reg[2]_3 , + \m_atarget_enc_reg[2]_4 , \m_ready_d_reg[1]_0 , - aa_rready, + m_axi_rvalid, + \m_atarget_enc_reg[0]_1 , Q, - aresetn_d); + aresetn_d, + \gen_no_arbiter.grant_rnw_reg ); output [0:0]mi_bvalid; output [0:0]mi_wready; + output m_valid_i_reg; output \gen_no_arbiter.m_grant_hot_i_reg[0] ; output \m_ready_d_reg[2] ; - output \gen_no_arbiter.m_grant_hot_i_reg[0]_0 ; output \s_axi_wready[0] ; - output \gen_no_arbiter.m_grant_hot_i_reg[0]_1 ; + output \gen_no_arbiter.m_grant_hot_i_reg[0]_0 ; output \m_ready_d_reg[0] ; - output s_ready_i_reg; output \m_ready_d_reg[0]_0 ; input [0:0]SR; - input \m_atarget_hot_reg[8] ; + input \gen_axilite.s_axi_awready_i_reg_0 ; input aclk; - input \m_atarget_hot_reg[8]_0 ; input \m_atarget_enc_reg[2] ; input [3:0]m_atarget_enc; + input [0:0]m_ready_d; + input \gen_no_arbiter.m_valid_i_reg ; + input aa_rready; input \m_atarget_enc_reg[2]_0 ; + input \m_atarget_enc_reg[2]_1 ; input \m_ready_d_reg[0]_1 ; input [1:0]m_axi_bvalid; - input \m_atarget_enc_reg[0] ; - input \m_atarget_enc_reg[2]_1 ; - input \m_atarget_enc_reg[2]_2 ; + input \m_atarget_enc_reg[1] ; input \m_ready_d_reg[1] ; + input \m_atarget_enc_reg[0] ; input [1:0]m_axi_wready; - input \m_atarget_enc_reg[2]_3 ; - input \m_atarget_enc_reg[2]_4 ; + input \m_atarget_enc_reg[0]_0 ; + input \m_atarget_enc_reg[2]_2 ; input \m_ready_d_reg[2]_0 ; - input [0:0]m_ready_d; + input [0:0]m_ready_d_0; input [1:0]m_axi_awready; - input [1:0]m_axi_rvalid; - input \m_ready_d_reg[0]_2 ; - input \m_atarget_enc_reg[2]_5 ; - input \m_atarget_enc_reg[2]_6 ; + input \m_atarget_enc_reg[2]_3 ; + input \m_atarget_enc_reg[2]_4 ; input \m_ready_d_reg[1]_0 ; - input aa_rready; + input [1:0]m_axi_rvalid; + input \m_atarget_enc_reg[0]_1 ; input [0:0]Q; input aresetn_d; + input \gen_no_arbiter.grant_rnw_reg ; wire [0:0]Q; wire [0:0]SR; @@ -3470,50 +3470,54 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave wire aclk; wire aresetn_d; wire \gen_axilite.s_axi_arready_i_i_1_n_0 ; + wire \gen_axilite.s_axi_awready_i_i_1_n_0 ; + wire \gen_axilite.s_axi_awready_i_reg_0 ; wire \gen_axilite.s_axi_rvalid_i_i_1_n_0 ; + wire \gen_no_arbiter.grant_rnw_reg ; wire \gen_no_arbiter.m_grant_hot_i_reg[0] ; wire \gen_no_arbiter.m_grant_hot_i_reg[0]_0 ; - wire \gen_no_arbiter.m_grant_hot_i_reg[0]_1 ; + wire \gen_no_arbiter.m_valid_i_reg ; wire [3:0]m_atarget_enc; wire \m_atarget_enc_reg[0] ; + wire \m_atarget_enc_reg[0]_0 ; + wire \m_atarget_enc_reg[0]_1 ; + wire \m_atarget_enc_reg[1] ; wire \m_atarget_enc_reg[2] ; wire \m_atarget_enc_reg[2]_0 ; wire \m_atarget_enc_reg[2]_1 ; wire \m_atarget_enc_reg[2]_2 ; wire \m_atarget_enc_reg[2]_3 ; wire \m_atarget_enc_reg[2]_4 ; - wire \m_atarget_enc_reg[2]_5 ; - wire \m_atarget_enc_reg[2]_6 ; - wire \m_atarget_hot_reg[8] ; - wire \m_atarget_hot_reg[8]_0 ; wire [1:0]m_axi_awready; wire [1:0]m_axi_bvalid; wire [1:0]m_axi_rvalid; wire [1:0]m_axi_wready; wire [0:0]m_ready_d; + wire [0:0]m_ready_d_0; wire \m_ready_d_reg[0] ; wire \m_ready_d_reg[0]_0 ; wire \m_ready_d_reg[0]_1 ; - wire \m_ready_d_reg[0]_2 ; wire \m_ready_d_reg[1] ; wire \m_ready_d_reg[1]_0 ; wire \m_ready_d_reg[2] ; wire \m_ready_d_reg[2]_0 ; + wire m_valid_i_i_5_n_0; + wire m_valid_i_reg; wire [8:8]mi_arready; wire [0:0]mi_bvalid; wire [8:8]mi_rvalid; wire [0:0]mi_wready; wire \s_axi_wready[0] ; + wire \s_axi_wready[0]_INST_0_i_3_n_0 ; wire \s_axi_wready[0]_INST_0_i_6_n_0 ; - wire s_ready_i_reg; LUT5 #( - .INIT(32'h8A8A828A)) + .INIT(32'hAA0AA20A)) \gen_axilite.s_axi_arready_i_i_1 (.I0(aresetn_d), - .I1(mi_arready), + .I1(Q), .I2(mi_rvalid), - .I3(Q), + .I3(mi_arready), .I4(\m_ready_d_reg[1]_0 ), .O(\gen_axilite.s_axi_arready_i_i_1_n_0 )); FDRE \gen_axilite.s_axi_arready_i_reg @@ -3522,26 +3526,35 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave .D(\gen_axilite.s_axi_arready_i_i_1_n_0 ), .Q(mi_arready), .R(1'b0)); + LUT5 #( + .INIT(32'hFFBF0040)) + \gen_axilite.s_axi_awready_i_i_1 + (.I0(mi_bvalid), + .I1(\gen_no_arbiter.grant_rnw_reg ), + .I2(Q), + .I3(\m_ready_d_reg[2]_0 ), + .I4(mi_wready), + .O(\gen_axilite.s_axi_awready_i_i_1_n_0 )); FDRE \gen_axilite.s_axi_awready_i_reg (.C(aclk), .CE(1'b1), - .D(\m_atarget_hot_reg[8]_0 ), + .D(\gen_axilite.s_axi_awready_i_i_1_n_0 ), .Q(mi_wready), .R(SR)); FDRE \gen_axilite.s_axi_bvalid_i_reg (.C(aclk), .CE(1'b1), - .D(\m_atarget_hot_reg[8] ), + .D(\gen_axilite.s_axi_awready_i_reg_0 ), .Q(mi_bvalid), .R(SR)); LUT5 #( - .INIT(32'h0FFF4400)) + .INIT(32'h0F44FF00)) \gen_axilite.s_axi_rvalid_i_i_1 (.I0(\m_ready_d_reg[1]_0 ), .I1(mi_arready), .I2(aa_rready), - .I3(Q), - .I4(mi_rvalid), + .I3(mi_rvalid), + .I4(Q), .O(\gen_axilite.s_axi_rvalid_i_i_1_n_0 )); FDRE \gen_axilite.s_axi_rvalid_i_reg (.C(aclk), @@ -3551,47 +3564,37 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave .R(SR)); LUT6 #( .INIT(64'hFFFFFFFF000044F4)) - \gen_no_arbiter.m_valid_i_i_7 + \gen_no_arbiter.m_valid_i_i_5 (.I0(m_atarget_enc[3]), - .I1(\m_atarget_enc_reg[2]_3 ), - .I2(\m_atarget_enc_reg[2]_4 ), + .I1(\m_atarget_enc_reg[0]_0 ), + .I2(\m_atarget_enc_reg[2]_2 ), .I3(\s_axi_wready[0]_INST_0_i_6_n_0 ), .I4(\m_ready_d_reg[2]_0 ), - .I5(m_ready_d), - .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_1 )); + .I5(m_ready_d_0), + .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_0 )); LUT6 #( .INIT(64'hFFFFFFFFFC74FF74)) - \gen_no_arbiter.m_valid_i_i_9 - (.I0(\m_atarget_enc_reg[2] ), + \gen_no_arbiter.m_valid_i_i_8 + (.I0(\m_atarget_enc_reg[2]_0 ), .I1(m_atarget_enc[1]), - .I2(\m_atarget_enc_reg[2]_0 ), + .I2(\m_atarget_enc_reg[2]_1 ), .I3(m_atarget_enc[3]), .I4(mi_bvalid), .I5(\m_ready_d_reg[0]_1 ), .O(\gen_no_arbiter.m_grant_hot_i_reg[0] )); LUT6 #( - .INIT(64'h0000000030B800B8)) + .INIT(64'h0000000030740074)) \m_ready_d[1]_i_4 - (.I0(\m_atarget_enc_reg[2]_5 ), + (.I0(\m_atarget_enc_reg[2]_3 ), .I1(m_atarget_enc[1]), - .I2(\m_atarget_enc_reg[2]_6 ), + .I2(\m_atarget_enc_reg[2]_4 ), .I3(m_atarget_enc[3]), .I4(mi_arready), .I5(\m_ready_d_reg[1]_0 ), .O(\m_ready_d_reg[0]_0 )); - LUT6 #( - .INIT(64'h00000000202030FF)) - \m_ready_d[2]_i_10 - (.I0(mi_wready), - .I1(\m_atarget_enc_reg[0] ), - .I2(\m_atarget_enc_reg[2]_1 ), - .I3(\m_atarget_enc_reg[2]_2 ), - .I4(m_atarget_enc[3]), - .I5(\m_ready_d_reg[1] ), - .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_0 )); LUT6 #( .INIT(64'h0000000000003E0E)) - \m_ready_d[2]_i_6 + \m_ready_d[2]_i_8 (.I0(m_axi_awready[0]), .I1(m_atarget_enc[3]), .I2(m_atarget_enc[2]), @@ -3600,15 +3603,25 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave .I5(\m_ready_d_reg[2]_0 ), .O(\m_ready_d_reg[0] )); LUT6 #( - .INIT(64'h0000000033E200E2)) - m_valid_i_i_6 + .INIT(64'hFFFFFF0E00000000)) + m_valid_i_i_2 + (.I0(\m_atarget_enc_reg[2] ), + .I1(m_atarget_enc[3]), + .I2(m_valid_i_i_5_n_0), + .I3(m_ready_d), + .I4(\gen_no_arbiter.m_valid_i_reg ), + .I5(aa_rready), + .O(m_valid_i_reg)); + LUT6 #( + .INIT(64'h000033E2000000E2)) + m_valid_i_i_5 (.I0(m_axi_rvalid[0]), .I1(m_atarget_enc[2]), .I2(m_axi_rvalid[1]), .I3(m_atarget_enc[3]), - .I4(mi_rvalid), - .I5(\m_ready_d_reg[0]_2 ), - .O(s_ready_i_reg)); + .I4(\m_atarget_enc_reg[0]_1 ), + .I5(mi_rvalid), + .O(m_valid_i_i_5_n_0)); LUT6 #( .INIT(64'hFFFFFFFFCC1DFF1D)) \s_axi_bvalid[0]_INST_0_i_5 @@ -3619,16 +3632,26 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave .I4(mi_bvalid), .I5(\m_ready_d_reg[0]_1 ), .O(\m_ready_d_reg[2] )); + LUT6 #( + .INIT(64'h0F0F0F070F0F0F00)) + \s_axi_wready[0]_INST_0_i_1 + (.I0(m_atarget_enc[0]), + .I1(\m_atarget_enc_reg[1] ), + .I2(\s_axi_wready[0]_INST_0_i_3_n_0 ), + .I3(\m_ready_d_reg[1] ), + .I4(m_atarget_enc[3]), + .I5(\m_atarget_enc_reg[0] ), + .O(\s_axi_wready[0] )); LUT6 #( .INIT(64'h0000000000003E0E)) - \s_axi_wready[0]_INST_0_i_5 + \s_axi_wready[0]_INST_0_i_3 (.I0(m_axi_wready[0]), .I1(m_atarget_enc[3]), .I2(m_atarget_enc[2]), .I3(m_axi_wready[1]), .I4(\s_axi_wready[0]_INST_0_i_6_n_0 ), .I5(\m_ready_d_reg[1] ), - .O(\s_axi_wready[0] )); + .O(\s_axi_wready[0]_INST_0_i_3_n_0 )); LUT4 #( .INIT(16'hEFEE)) \s_axi_wready[0]_INST_0_i_6 @@ -3642,37 +3665,35 @@ endmodule (* ORIG_REF_NAME = "axi_crossbar_v2_1_10_splitter" *) module system_design_xbar_0_axi_crossbar_v2_1_10_splitter (m_ready_d, + \m_ready_d_reg[2]_0 , + \m_ready_d_reg[2]_1 , \gen_no_arbiter.m_grant_hot_i_reg[0] , - \gen_no_arbiter.m_grant_hot_i_reg[0]_0 , - \gen_no_arbiter.m_grant_hot_i_reg[0]_1 , - \gen_no_arbiter.m_grant_hot_i_reg[0]_2 , m_atarget_enc, \m_atarget_enc_reg[1] , \m_atarget_enc_reg[3] , - \m_atarget_enc_reg[2] , + \m_atarget_enc_reg[0] , \m_atarget_enc_reg[3]_0 , m_axi_wready, m_axi_bvalid, aresetn_d, \gen_no_arbiter.grant_rnw_reg , - \m_atarget_enc_reg[0] , + \m_atarget_enc_reg[0]_0 , \m_ready_d_reg[1]_0 , aclk); output [2:0]m_ready_d; + output \m_ready_d_reg[2]_0 ; + output \m_ready_d_reg[2]_1 ; output \gen_no_arbiter.m_grant_hot_i_reg[0] ; - output \gen_no_arbiter.m_grant_hot_i_reg[0]_0 ; - output \gen_no_arbiter.m_grant_hot_i_reg[0]_1 ; - output \gen_no_arbiter.m_grant_hot_i_reg[0]_2 ; input [3:0]m_atarget_enc; input \m_atarget_enc_reg[1] ; input \m_atarget_enc_reg[3] ; - input \m_atarget_enc_reg[2] ; + input \m_atarget_enc_reg[0] ; input \m_atarget_enc_reg[3]_0 ; input [3:0]m_axi_wready; input [3:0]m_axi_bvalid; input aresetn_d; input \gen_no_arbiter.grant_rnw_reg ; - input \m_atarget_enc_reg[0] ; + input \m_atarget_enc_reg[0]_0 ; input \m_ready_d_reg[1]_0 ; input aclk; @@ -3680,13 +3701,10 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_splitter wire aresetn_d; wire \gen_no_arbiter.grant_rnw_reg ; wire \gen_no_arbiter.m_grant_hot_i_reg[0] ; - wire \gen_no_arbiter.m_grant_hot_i_reg[0]_0 ; - wire \gen_no_arbiter.m_grant_hot_i_reg[0]_1 ; - wire \gen_no_arbiter.m_grant_hot_i_reg[0]_2 ; wire [3:0]m_atarget_enc; wire \m_atarget_enc_reg[0] ; + wire \m_atarget_enc_reg[0]_0 ; wire \m_atarget_enc_reg[1] ; - wire \m_atarget_enc_reg[2] ; wire \m_atarget_enc_reg[3] ; wire \m_atarget_enc_reg[3]_0 ; wire [3:0]m_axi_bvalid; @@ -3695,46 +3713,41 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_splitter wire \m_ready_d[0]_i_1_n_0 ; wire \m_ready_d[1]_i_1_n_0 ; wire \m_ready_d[2]_i_1_n_0 ; - wire \m_ready_d[2]_i_3_n_0 ; + wire \m_ready_d[2]_i_4_n_0 ; wire \m_ready_d_reg[1]_0 ; + wire \m_ready_d_reg[2]_0 ; + wire \m_ready_d_reg[2]_1 ; LUT6 #( - .INIT(64'hAA02AA02AA020000)) + .INIT(64'hAA08AA08AA080000)) \m_ready_d[0]_i_1 (.I0(aresetn_d), .I1(\gen_no_arbiter.grant_rnw_reg ), - .I2(\m_atarget_enc_reg[0] ), + .I2(\m_atarget_enc_reg[0]_0 ), .I3(m_ready_d[0]), - .I4(\m_ready_d[2]_i_3_n_0 ), - .I5(\m_ready_d_reg[1]_0 ), + .I4(\m_ready_d_reg[1]_0 ), + .I5(\m_ready_d[2]_i_4_n_0 ), .O(\m_ready_d[0]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000000AAAA00A8)) + .INIT(64'h0000AAAA000000A2)) \m_ready_d[1]_i_1 (.I0(aresetn_d), .I1(\gen_no_arbiter.grant_rnw_reg ), - .I2(\m_atarget_enc_reg[0] ), + .I2(\m_atarget_enc_reg[0]_0 ), .I3(m_ready_d[0]), - .I4(\m_ready_d[2]_i_3_n_0 ), - .I5(\m_ready_d_reg[1]_0 ), + .I4(\m_ready_d_reg[1]_0 ), + .I5(\m_ready_d[2]_i_4_n_0 ), .O(\m_ready_d[1]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000AAAA000000A8)) + .INIT(64'h00000000AAAA00A2)) \m_ready_d[2]_i_1 (.I0(aresetn_d), .I1(\gen_no_arbiter.grant_rnw_reg ), - .I2(\m_atarget_enc_reg[0] ), + .I2(\m_atarget_enc_reg[0]_0 ), .I3(m_ready_d[0]), - .I4(\m_ready_d[2]_i_3_n_0 ), - .I5(\m_ready_d_reg[1]_0 ), + .I4(\m_ready_d_reg[1]_0 ), + .I5(\m_ready_d[2]_i_4_n_0 ), .O(\m_ready_d[2]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair51" *) - LUT2 #( - .INIT(4'hE)) - \m_ready_d[2]_i_11 - (.I0(m_atarget_enc[0]), - .I1(m_atarget_enc[1]), - .O(\gen_no_arbiter.m_grant_hot_i_reg[0] )); LUT4 #( .INIT(16'h3B38)) \m_ready_d[2]_i_12 @@ -3742,17 +3755,17 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_splitter .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_axi_wready[0]), - .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_1 )); + .O(\m_ready_d_reg[2]_0 )); LUT6 #( .INIT(64'h000F000F00000007)) - \m_ready_d[2]_i_3 + \m_ready_d[2]_i_4 (.I0(m_atarget_enc[0]), .I1(\m_atarget_enc_reg[1] ), .I2(m_ready_d[2]), .I3(\m_atarget_enc_reg[3] ), - .I4(\m_atarget_enc_reg[2] ), + .I4(\m_atarget_enc_reg[0] ), .I5(\m_atarget_enc_reg[3]_0 ), - .O(\m_ready_d[2]_i_3_n_0 )); + .O(\m_ready_d[2]_i_4_n_0 )); FDRE \m_ready_d_reg[0] (.C(aclk), .CE(1'b1), @@ -3780,17 +3793,16 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_splitter .I3(m_axi_bvalid[2]), .I4(m_atarget_enc[2]), .I5(m_axi_bvalid[0]), - .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_2 )); - (* SOFT_HLUTNM = "soft_lutpair51" *) + .O(\gen_no_arbiter.m_grant_hot_i_reg[0] )); LUT5 #( - .INIT(32'hFF47FFFF)) - \s_axi_wready[0]_INST_0_i_4 - (.I0(m_axi_wready[3]), - .I1(m_atarget_enc[2]), + .INIT(32'hBBBFFFBF)) + \s_axi_wready[0]_INST_0_i_5 + (.I0(m_atarget_enc[0]), + .I1(m_atarget_enc[1]), .I2(m_axi_wready[1]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .O(\gen_no_arbiter.m_grant_hot_i_reg[0]_0 )); + .I3(m_atarget_enc[2]), + .I4(m_axi_wready[3]), + .O(\m_ready_d_reg[2]_1 )); endmodule (* ORIG_REF_NAME = "axi_crossbar_v2_1_10_splitter" *) @@ -3801,7 +3813,7 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_splitter__parameterized0 \m_ready_d_reg[1]_0 , \m_atarget_enc_reg[1]_0 , aresetn_d, - m_valid_i_reg, + m_ready_d0, aclk); output [1:0]m_ready_d; input \m_atarget_enc_reg[1] ; @@ -3809,7 +3821,7 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_splitter__parameterized0 input \m_ready_d_reg[1]_0 ; input \m_atarget_enc_reg[1]_0 ; input aresetn_d; - input m_valid_i_reg; + input [0:0]m_ready_d0; input aclk; wire aclk; @@ -3818,38 +3830,38 @@ module system_design_xbar_0_axi_crossbar_v2_1_10_splitter__parameterized0 wire \m_atarget_enc_reg[1] ; wire \m_atarget_enc_reg[1]_0 ; wire [1:0]m_ready_d; - wire [1:1]m_ready_d0; + wire [0:0]m_ready_d0; wire \m_ready_d[0]_i_1_n_0 ; wire \m_ready_d[1]_i_1_n_0 ; + wire \m_ready_d[1]_i_3_n_0 ; wire \m_ready_d_reg[1]_0 ; - wire m_valid_i_reg; - (* SOFT_HLUTNM = "soft_lutpair50" *) + (* SOFT_HLUTNM = "soft_lutpair52" *) LUT3 #( - .INIT(8'h02)) + .INIT(8'h80)) \m_ready_d[0]_i_1 (.I0(aresetn_d), .I1(m_ready_d0), - .I2(m_valid_i_reg), + .I2(\m_ready_d[1]_i_3_n_0 ), .O(\m_ready_d[0]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair50" *) + (* SOFT_HLUTNM = "soft_lutpair52" *) LUT3 #( - .INIT(8'h80)) + .INIT(8'h02)) \m_ready_d[1]_i_1 (.I0(aresetn_d), .I1(m_ready_d0), - .I2(m_valid_i_reg), + .I2(\m_ready_d[1]_i_3_n_0 ), .O(\m_ready_d[1]_i_1_n_0 )); LUT6 #( - .INIT(64'hAEAEAEFEAEAEAEAE)) - \m_ready_d[1]_i_2 + .INIT(64'h5151510151515151)) + \m_ready_d[1]_i_3 (.I0(m_ready_d[1]), .I1(\m_atarget_enc_reg[1] ), .I2(m_atarget_enc[0]), .I3(m_atarget_enc[1]), .I4(\m_ready_d_reg[1]_0 ), .I5(\m_atarget_enc_reg[1]_0 ), - .O(m_ready_d0)); + .O(\m_ready_d[1]_i_3_n_0 )); FDRE \m_ready_d_reg[0] (.C(aclk), .CE(1'b1), @@ -3868,75 +3880,93 @@ endmodule module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice (sr_rvalid, aa_rready, - \m_ready_d_reg[1] , - \skid_buffer_reg[2]_0 , + \gen_no_arbiter.m_valid_i_reg , + m_ready_d0, \skid_buffer_reg[3]_0 , - \skid_buffer_reg[3]_1 , - s_ready_i_reg_0, - s_ready_i_reg_1, + m_valid_i_reg_0, + m_valid_i_reg_1, + s_axi_rvalid, m_axi_rready, \s_axi_rdata[31] , aclk, - \m_atarget_enc_reg[0] , - E, + \m_atarget_enc_reg[3] , + m_valid_i_reg_2, + \m_atarget_enc_reg[1] , + \m_atarget_enc_reg[3]_0 , + m_atarget_enc, + \m_atarget_enc_reg[1]_0 , + m_ready_d, s_axi_rready, - aa_grant_rnw, m_valid_i, - m_ready_d, + aa_grant_rnw, m_axi_rresp, - m_atarget_enc, m_axi_rdata, m_axi_rvalid, + aa_grant_any, Q, - SR); + SR, + E); output sr_rvalid; output aa_rready; - output \m_ready_d_reg[1] ; - output \skid_buffer_reg[2]_0 ; + output \gen_no_arbiter.m_valid_i_reg ; + output [0:0]m_ready_d0; output \skid_buffer_reg[3]_0 ; - output \skid_buffer_reg[3]_1 ; - output s_ready_i_reg_0; - output s_ready_i_reg_1; - output [6:0]m_axi_rready; + output m_valid_i_reg_0; + output m_valid_i_reg_1; + output [0:0]s_axi_rvalid; + output [7:0]m_axi_rready; output [33:0]\s_axi_rdata[31] ; input aclk; - input \m_atarget_enc_reg[0] ; - input [0:0]E; + input \m_atarget_enc_reg[3] ; + input m_valid_i_reg_2; + input \m_atarget_enc_reg[1] ; + input \m_atarget_enc_reg[3]_0 ; + input [3:0]m_atarget_enc; + input \m_atarget_enc_reg[1]_0 ; + input [1:0]m_ready_d; input [0:0]s_axi_rready; - input aa_grant_rnw; input m_valid_i; - input [0:0]m_ready_d; + input aa_grant_rnw; input [15:0]m_axi_rresp; - input [3:0]m_atarget_enc; input [255:0]m_axi_rdata; input [5:0]m_axi_rvalid; - input [6:0]Q; + input aa_grant_any; + input [7:0]Q; input [0:0]SR; + input [0:0]E; wire [0:0]E; - wire [6:0]Q; + wire [7:0]Q; wire [0:0]SR; + wire aa_grant_any; wire aa_grant_rnw; wire aa_rready; wire aclk; wire \aresetn_d_reg_n_0_[0] ; wire \aresetn_d_reg_n_0_[1] ; + wire \gen_no_arbiter.m_valid_i_reg ; wire [3:0]m_atarget_enc; - wire \m_atarget_enc_reg[0] ; + wire \m_atarget_enc_reg[1] ; + wire \m_atarget_enc_reg[1]_0 ; + wire \m_atarget_enc_reg[3] ; + wire \m_atarget_enc_reg[3]_0 ; wire [255:0]m_axi_rdata; - wire [6:0]m_axi_rready; + wire [7:0]m_axi_rready; wire [15:0]m_axi_rresp; wire [5:0]m_axi_rvalid; wire \m_payload_i_reg_n_0_[0] ; - wire [0:0]m_ready_d; - wire \m_ready_d_reg[1] ; + wire [1:0]m_ready_d; + wire [0:0]m_ready_d0; wire m_valid_i; wire m_valid_i_i_1_n_0; + wire m_valid_i_i_6_n_0; + wire m_valid_i_reg_0; + wire m_valid_i_reg_1; + wire m_valid_i_reg_2; wire [33:0]\s_axi_rdata[31] ; wire [0:0]s_axi_rready; + wire [0:0]s_axi_rvalid; wire s_ready_i_i_1_n_0; - wire s_ready_i_reg_0; - wire s_ready_i_reg_1; wire [34:0]skid_buffer; wire \skid_buffer[10]_i_1_n_0 ; wire \skid_buffer[10]_i_2_n_0 ; @@ -4049,6 +4079,8 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice wire \skid_buffer[34]_i_3_n_0 ; wire \skid_buffer[34]_i_4_n_0 ; wire \skid_buffer[34]_i_5_n_0 ; + wire \skid_buffer[34]_i_6_n_0 ; + wire \skid_buffer[34]_i_7_n_0 ; wire \skid_buffer[3]_i_1_n_0 ; wire \skid_buffer[3]_i_2_n_0 ; wire \skid_buffer[3]_i_3_n_0 ; @@ -4077,9 +4109,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice wire \skid_buffer[9]_i_2_n_0 ; wire \skid_buffer[9]_i_3_n_0 ; wire \skid_buffer[9]_i_4_n_0 ; - wire \skid_buffer_reg[2]_0 ; wire \skid_buffer_reg[3]_0 ; - wire \skid_buffer_reg[3]_1 ; wire \skid_buffer_reg_n_0_[0] ; wire \skid_buffer_reg_n_0_[10] ; wire \skid_buffer_reg_n_0_[11] ; @@ -4133,55 +4163,73 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .D(\aresetn_d_reg_n_0_[0] ), .Q(\aresetn_d_reg_n_0_[1] ), .R(SR)); + LUT6 #( + .INIT(64'hAAAAAAAA80AA8000)) + \gen_no_arbiter.m_valid_i_i_4 + (.I0(m_ready_d0), + .I1(\m_atarget_enc_reg[1] ), + .I2(\m_atarget_enc_reg[3]_0 ), + .I3(m_atarget_enc[0]), + .I4(\m_atarget_enc_reg[1]_0 ), + .I5(m_ready_d[1]), + .O(\gen_no_arbiter.m_valid_i_reg )); + (* SOFT_HLUTNM = "soft_lutpair51" *) LUT2 #( .INIT(4'h8)) \m_axi_rready[0]_INST_0 (.I0(aa_rready), .I1(Q[0]), .O(m_axi_rready[0])); - (* SOFT_HLUTNM = "soft_lutpair49" *) + (* SOFT_HLUTNM = "soft_lutpair51" *) LUT2 #( .INIT(4'h8)) \m_axi_rready[1]_INST_0 (.I0(aa_rready), .I1(Q[1]), .O(m_axi_rready[1])); - (* SOFT_HLUTNM = "soft_lutpair49" *) + (* SOFT_HLUTNM = "soft_lutpair50" *) LUT2 #( .INIT(4'h8)) \m_axi_rready[2]_INST_0 (.I0(aa_rready), .I1(Q[2]), .O(m_axi_rready[2])); - (* SOFT_HLUTNM = "soft_lutpair48" *) + (* SOFT_HLUTNM = "soft_lutpair50" *) LUT2 #( .INIT(4'h8)) - \m_axi_rready[4]_INST_0 + \m_axi_rready[3]_INST_0 (.I0(aa_rready), .I1(Q[3]), .O(m_axi_rready[3])); - (* SOFT_HLUTNM = "soft_lutpair48" *) + (* SOFT_HLUTNM = "soft_lutpair49" *) LUT2 #( .INIT(4'h8)) - \m_axi_rready[5]_INST_0 + \m_axi_rready[4]_INST_0 (.I0(aa_rready), .I1(Q[4]), .O(m_axi_rready[4])); - (* SOFT_HLUTNM = "soft_lutpair47" *) + (* SOFT_HLUTNM = "soft_lutpair49" *) LUT2 #( .INIT(4'h8)) - \m_axi_rready[6]_INST_0 + \m_axi_rready[5]_INST_0 (.I0(aa_rready), .I1(Q[5]), .O(m_axi_rready[5])); - (* SOFT_HLUTNM = "soft_lutpair47" *) + (* SOFT_HLUTNM = "soft_lutpair48" *) LUT2 #( .INIT(4'h8)) - \m_axi_rready[7]_INST_0 + \m_axi_rready[6]_INST_0 (.I0(aa_rready), .I1(Q[6]), .O(m_axi_rready[6])); - (* SOFT_HLUTNM = "soft_lutpair37" *) + (* SOFT_HLUTNM = "soft_lutpair48" *) + LUT2 #( + .INIT(4'h8)) + \m_axi_rready[7]_INST_0 + (.I0(aa_rready), + .I1(Q[7]), + .O(m_axi_rready[7])); + (* SOFT_HLUTNM = "soft_lutpair38" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[10]_i_1 @@ -4189,7 +4237,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[10] ), .O(skid_buffer[10])); - (* SOFT_HLUTNM = "soft_lutpair38" *) + (* SOFT_HLUTNM = "soft_lutpair39" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[11]_i_1 @@ -4197,7 +4245,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[11] ), .O(skid_buffer[11])); - (* SOFT_HLUTNM = "soft_lutpair39" *) + (* SOFT_HLUTNM = "soft_lutpair40" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[12]_i_1 @@ -4205,7 +4253,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[12] ), .O(skid_buffer[12])); - (* SOFT_HLUTNM = "soft_lutpair40" *) + (* SOFT_HLUTNM = "soft_lutpair41" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[13]_i_1 @@ -4213,7 +4261,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[13] ), .O(skid_buffer[13])); - (* SOFT_HLUTNM = "soft_lutpair41" *) + (* SOFT_HLUTNM = "soft_lutpair42" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[14]_i_1 @@ -4221,7 +4269,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[14] ), .O(skid_buffer[14])); - (* SOFT_HLUTNM = "soft_lutpair42" *) + (* SOFT_HLUTNM = "soft_lutpair43" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[15]_i_1 @@ -4229,7 +4277,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[15] ), .O(skid_buffer[15])); - (* SOFT_HLUTNM = "soft_lutpair43" *) + (* SOFT_HLUTNM = "soft_lutpair44" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[16]_i_1 @@ -4237,7 +4285,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[16] ), .O(skid_buffer[16])); - (* SOFT_HLUTNM = "soft_lutpair44" *) + (* SOFT_HLUTNM = "soft_lutpair45" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[17]_i_1 @@ -4245,7 +4293,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[17] ), .O(skid_buffer[17])); - (* SOFT_HLUTNM = "soft_lutpair45" *) + (* SOFT_HLUTNM = "soft_lutpair46" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[18]_i_1 @@ -4253,7 +4301,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[18] ), .O(skid_buffer[18])); - (* SOFT_HLUTNM = "soft_lutpair46" *) + (* SOFT_HLUTNM = "soft_lutpair47" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[19]_i_1 @@ -4261,7 +4309,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[19] ), .O(skid_buffer[19])); - (* SOFT_HLUTNM = "soft_lutpair30" *) + (* SOFT_HLUTNM = "soft_lutpair31" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[1]_i_1 @@ -4269,7 +4317,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[1] ), .O(skid_buffer[1])); - (* SOFT_HLUTNM = "soft_lutpair45" *) + (* SOFT_HLUTNM = "soft_lutpair47" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[20]_i_1 @@ -4277,7 +4325,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[20] ), .O(skid_buffer[20])); - (* SOFT_HLUTNM = "soft_lutpair44" *) + (* SOFT_HLUTNM = "soft_lutpair46" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[21]_i_1 @@ -4285,7 +4333,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[21] ), .O(skid_buffer[21])); - (* SOFT_HLUTNM = "soft_lutpair43" *) + (* SOFT_HLUTNM = "soft_lutpair45" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[22]_i_1 @@ -4293,7 +4341,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[22] ), .O(skid_buffer[22])); - (* SOFT_HLUTNM = "soft_lutpair42" *) + (* SOFT_HLUTNM = "soft_lutpair44" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[23]_i_1 @@ -4301,7 +4349,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[23] ), .O(skid_buffer[23])); - (* SOFT_HLUTNM = "soft_lutpair41" *) + (* SOFT_HLUTNM = "soft_lutpair43" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[24]_i_1 @@ -4309,7 +4357,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[24] ), .O(skid_buffer[24])); - (* SOFT_HLUTNM = "soft_lutpair40" *) + (* SOFT_HLUTNM = "soft_lutpair42" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[25]_i_1 @@ -4317,7 +4365,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[25] ), .O(skid_buffer[25])); - (* SOFT_HLUTNM = "soft_lutpair39" *) + (* SOFT_HLUTNM = "soft_lutpair41" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[26]_i_1 @@ -4325,7 +4373,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[26] ), .O(skid_buffer[26])); - (* SOFT_HLUTNM = "soft_lutpair38" *) + (* SOFT_HLUTNM = "soft_lutpair40" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[27]_i_1 @@ -4333,7 +4381,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[27] ), .O(skid_buffer[27])); - (* SOFT_HLUTNM = "soft_lutpair37" *) + (* SOFT_HLUTNM = "soft_lutpair39" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[28]_i_1 @@ -4341,7 +4389,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[28] ), .O(skid_buffer[28])); - (* SOFT_HLUTNM = "soft_lutpair36" *) + (* SOFT_HLUTNM = "soft_lutpair38" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[29]_i_1 @@ -4349,7 +4397,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[29] ), .O(skid_buffer[29])); - (* SOFT_HLUTNM = "soft_lutpair31" *) + (* SOFT_HLUTNM = "soft_lutpair32" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[2]_i_1 @@ -4357,7 +4405,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[2] ), .O(skid_buffer[2])); - (* SOFT_HLUTNM = "soft_lutpair35" *) + (* SOFT_HLUTNM = "soft_lutpair37" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[30]_i_1 @@ -4365,7 +4413,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[30] ), .O(skid_buffer[30])); - (* SOFT_HLUTNM = "soft_lutpair34" *) + (* SOFT_HLUTNM = "soft_lutpair36" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[31]_i_1 @@ -4373,7 +4421,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[31] ), .O(skid_buffer[31])); - (* SOFT_HLUTNM = "soft_lutpair33" *) + (* SOFT_HLUTNM = "soft_lutpair35" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[32]_i_1 @@ -4381,7 +4429,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[32] ), .O(skid_buffer[32])); - (* SOFT_HLUTNM = "soft_lutpair46" *) + (* SOFT_HLUTNM = "soft_lutpair34" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[33]_i_1 @@ -4389,7 +4437,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[33] ), .O(skid_buffer[33])); - (* SOFT_HLUTNM = "soft_lutpair32" *) + (* SOFT_HLUTNM = "soft_lutpair33" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[34]_i_2 @@ -4397,7 +4445,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[34] ), .O(skid_buffer[34])); - (* SOFT_HLUTNM = "soft_lutpair30" *) + (* SOFT_HLUTNM = "soft_lutpair31" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[3]_i_1 @@ -4405,7 +4453,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[3] ), .O(skid_buffer[3])); - (* SOFT_HLUTNM = "soft_lutpair31" *) + (* SOFT_HLUTNM = "soft_lutpair32" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[4]_i_1 @@ -4413,7 +4461,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[4] ), .O(skid_buffer[4])); - (* SOFT_HLUTNM = "soft_lutpair32" *) + (* SOFT_HLUTNM = "soft_lutpair33" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[5]_i_1 @@ -4421,7 +4469,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[5] ), .O(skid_buffer[5])); - (* SOFT_HLUTNM = "soft_lutpair33" *) + (* SOFT_HLUTNM = "soft_lutpair34" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[6]_i_1 @@ -4429,7 +4477,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[6] ), .O(skid_buffer[6])); - (* SOFT_HLUTNM = "soft_lutpair34" *) + (* SOFT_HLUTNM = "soft_lutpair35" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[7]_i_1 @@ -4437,7 +4485,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[7] ), .O(skid_buffer[7])); - (* SOFT_HLUTNM = "soft_lutpair35" *) + (* SOFT_HLUTNM = "soft_lutpair36" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[8]_i_1 @@ -4445,7 +4493,7 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I1(aa_rready), .I2(\skid_buffer_reg_n_0_[8] ), .O(skid_buffer[8])); - (* SOFT_HLUTNM = "soft_lutpair36" *) + (* SOFT_HLUTNM = "soft_lutpair37" *) LUT3 #( .INIT(8'hB8)) \m_payload_i[9]_i_1 @@ -4664,79 +4712,77 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .Q(\s_axi_rdata[31] [8]), .R(1'b0)); LUT6 #( - .INIT(64'h000000007FFFFFFF)) - \m_ready_d[1]_i_3 - (.I0(sr_rvalid), - .I1(\m_payload_i_reg_n_0_[0] ), + .INIT(64'hFFFFFFFF80000000)) + \m_ready_d[1]_i_2 + (.I0(\m_payload_i_reg_n_0_[0] ), + .I1(sr_rvalid), .I2(s_axi_rready), - .I3(aa_grant_rnw), - .I4(m_valid_i), - .I5(m_ready_d), - .O(\m_ready_d_reg[1] )); + .I3(m_valid_i), + .I4(aa_grant_rnw), + .I5(m_ready_d[0]), + .O(m_ready_d0)); (* SOFT_HLUTNM = "soft_lutpair29" *) - LUT4 #( - .INIT(16'hA2AA)) + LUT2 #( + .INIT(4'hE)) + \m_ready_d[2]_i_11 + (.I0(m_atarget_enc[0]), + .I1(m_atarget_enc[1]), + .O(m_valid_i_reg_0)); + (* SOFT_HLUTNM = "soft_lutpair30" *) + LUT3 #( + .INIT(8'hA2)) m_valid_i_i_1 (.I0(\aresetn_d_reg_n_0_[1] ), - .I1(aa_rready), - .I2(\m_atarget_enc_reg[0] ), - .I3(E), + .I1(\m_atarget_enc_reg[3] ), + .I2(m_valid_i_reg_2), .O(m_valid_i_i_1_n_0)); + LUT6 #( + .INIT(64'h000047FFFFFF47FF)) + m_valid_i_i_4 + (.I0(m_axi_rvalid[4]), + .I1(m_atarget_enc[2]), + .I2(m_axi_rvalid[1]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_valid_i_i_6_n_0), + .O(m_valid_i_reg_1)); LUT6 #( .INIT(64'hAFA0CFCFAFA0C0C0)) - m_valid_i_i_3 + m_valid_i_i_6 (.I0(m_axi_rvalid[5]), .I1(m_axi_rvalid[2]), .I2(m_atarget_enc[1]), .I3(m_axi_rvalid[3]), .I4(m_atarget_enc[2]), .I5(m_axi_rvalid[0]), - .O(s_ready_i_reg_1)); - LUT3 #( - .INIT(8'h35)) - m_valid_i_i_5 - (.I0(m_axi_rvalid[1]), - .I1(m_axi_rvalid[4]), - .I2(m_atarget_enc[2]), - .O(s_ready_i_reg_0)); + .O(m_valid_i_i_6_n_0)); FDRE m_valid_i_reg (.C(aclk), .CE(1'b1), .D(m_valid_i_i_1_n_0), .Q(sr_rvalid), .R(1'b0)); - LUT4 #( - .INIT(16'hFFDF)) - \s_axi_bresp[0]_INST_0_i_3 - (.I0(m_atarget_enc[1]), - .I1(m_atarget_enc[0]), - .I2(m_atarget_enc[2]), - .I3(m_atarget_enc[3]), - .O(\skid_buffer_reg[3]_1 )); - LUT4 #( - .INIT(16'hFFFD)) - \s_axi_bresp[0]_INST_0_i_7 - (.I0(m_atarget_enc[1]), - .I1(m_atarget_enc[0]), - .I2(m_atarget_enc[2]), - .I3(m_atarget_enc[3]), - .O(\skid_buffer_reg[2]_0 )); LUT4 #( .INIT(16'hFFFE)) \s_axi_bresp[1]_INST_0_i_5 - (.I0(m_atarget_enc[1]), - .I1(m_atarget_enc[0]), - .I2(m_atarget_enc[2]), - .I3(m_atarget_enc[3]), + (.I0(m_atarget_enc[2]), + .I1(m_atarget_enc[3]), + .I2(m_atarget_enc[1]), + .I3(m_atarget_enc[0]), .O(\skid_buffer_reg[3]_0 )); - (* SOFT_HLUTNM = "soft_lutpair29" *) - LUT4 #( - .INIT(16'hAA08)) + LUT2 #( + .INIT(4'h8)) + \s_axi_rvalid[0]_INST_0 + (.I0(sr_rvalid), + .I1(aa_grant_any), + .O(s_axi_rvalid)); + (* SOFT_HLUTNM = "soft_lutpair30" *) + LUT3 #( + .INIT(8'hA2)) s_ready_i_i_1 (.I0(\aresetn_d_reg_n_0_[0] ), - .I1(aa_rready), - .I2(\m_atarget_enc_reg[0] ), - .I3(E), + .I1(m_valid_i_reg_2), + .I2(\m_atarget_enc_reg[3] ), .O(s_ready_i_i_1_n_0)); FDRE s_ready_i_reg (.C(aclk), @@ -4757,397 +4803,397 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[10]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[71]), .I2(\skid_buffer[10]_i_2_n_0 ), .I3(\skid_buffer[10]_i_3_n_0 ), .I4(\skid_buffer[10]_i_4_n_0 ), .O(\skid_buffer[10]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[10]_i_2 + (.I0(m_axi_rdata[199]), + .I1(\skid_buffer[34]_i_6_n_0 ), + .I2(m_axi_rdata[231]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[7]), + .O(\skid_buffer[10]_i_2_n_0 )); + LUT6 #( + .INIT(64'h0000230000002000)) + \skid_buffer[10]_i_3 (.I0(m_axi_rdata[167]), .I1(m_atarget_enc[1]), .I2(m_atarget_enc[0]), .I3(m_atarget_enc[2]), .I4(m_atarget_enc[3]), .I5(m_axi_rdata[135]), - .O(\skid_buffer[10]_i_2_n_0 )); + .O(\skid_buffer[10]_i_3_n_0 )); LUT6 #( .INIT(64'h0302000000020000)) - \skid_buffer[10]_i_3 + \skid_buffer[10]_i_4 (.I0(m_axi_rdata[39]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[1]), .I4(m_atarget_enc[0]), .I5(m_axi_rdata[103]), - .O(\skid_buffer[10]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[10]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[7]), - .I2(m_axi_rdata[199]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[231]), - .I5(\skid_buffer[34]_i_5_n_0 ), .O(\skid_buffer[10]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[11]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[72]), .I2(\skid_buffer[11]_i_2_n_0 ), .I3(\skid_buffer[11]_i_3_n_0 ), .I4(\skid_buffer[11]_i_4_n_0 ), .O(\skid_buffer[11]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[11]_i_2 - (.I0(m_axi_rdata[168]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[136]), + (.I0(m_axi_rdata[232]), + .I1(\skid_buffer[34]_i_7_n_0 ), + .I2(m_axi_rdata[200]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[8]), .O(\skid_buffer[11]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h0302000000020000)) \skid_buffer[11]_i_3 - (.I0(m_axi_rdata[104]), + (.I0(m_axi_rdata[40]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[40]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[104]), .O(\skid_buffer[11]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0000230000002000)) \skid_buffer[11]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[8]), - .I2(m_axi_rdata[232]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[200]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[168]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_rdata[136]), .O(\skid_buffer[11]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[12]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[73]), .I2(\skid_buffer[12]_i_2_n_0 ), .I3(\skid_buffer[12]_i_3_n_0 ), .I4(\skid_buffer[12]_i_4_n_0 ), .O(\skid_buffer[12]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[12]_i_2 - (.I0(m_axi_rdata[169]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[137]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[9]), + .I2(m_axi_rdata[233]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[201]), + .I5(\skid_buffer[34]_i_6_n_0 ), .O(\skid_buffer[12]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h0302000000020000)) \skid_buffer[12]_i_3 - (.I0(m_axi_rdata[105]), + (.I0(m_axi_rdata[41]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[41]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[105]), .O(\skid_buffer[12]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h00000C0800000008)) \skid_buffer[12]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[9]), - .I2(m_axi_rdata[233]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[201]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[137]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[169]), .O(\skid_buffer[12]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[13]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[74]), .I2(\skid_buffer[13]_i_2_n_0 ), .I3(\skid_buffer[13]_i_3_n_0 ), .I4(\skid_buffer[13]_i_4_n_0 ), .O(\skid_buffer[13]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[13]_i_2 - (.I0(m_axi_rdata[170]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[138]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[10]), + .I2(m_axi_rdata[202]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[234]), + .I5(\skid_buffer[34]_i_7_n_0 ), .O(\skid_buffer[13]_i_2_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h00000C0800000008)) \skid_buffer[13]_i_3 - (.I0(m_axi_rdata[42]), + (.I0(m_axi_rdata[138]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rdata[106]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[170]), .O(\skid_buffer[13]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0200030002000000)) \skid_buffer[13]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[10]), - .I2(m_axi_rdata[234]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[202]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[106]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[42]), .O(\skid_buffer[13]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[14]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[75]), .I2(\skid_buffer[14]_i_2_n_0 ), .I3(\skid_buffer[14]_i_3_n_0 ), .I4(\skid_buffer[14]_i_4_n_0 ), .O(\skid_buffer[14]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[14]_i_2 + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[11]), + .I2(m_axi_rdata[235]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[203]), + .I5(\skid_buffer[34]_i_6_n_0 ), + .O(\skid_buffer[14]_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000C0800000008)) + \skid_buffer[14]_i_3 (.I0(m_axi_rdata[139]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[171]), - .O(\skid_buffer[14]_i_2_n_0 )); + .O(\skid_buffer[14]_i_3_n_0 )); LUT6 #( .INIT(64'h0302000000020000)) - \skid_buffer[14]_i_3 + \skid_buffer[14]_i_4 (.I0(m_axi_rdata[43]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[1]), .I4(m_atarget_enc[0]), .I5(m_axi_rdata[107]), - .O(\skid_buffer[14]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[14]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[11]), - .I2(m_axi_rdata[235]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[203]), - .I5(\skid_buffer_reg[3]_1 ), .O(\skid_buffer[14]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[15]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[76]), .I2(\skid_buffer[15]_i_2_n_0 ), .I3(\skid_buffer[15]_i_3_n_0 ), .I4(\skid_buffer[15]_i_4_n_0 ), .O(\skid_buffer[15]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[15]_i_2 - (.I0(m_axi_rdata[172]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[140]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[12]), + .I2(m_axi_rdata[204]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[236]), + .I5(\skid_buffer[34]_i_7_n_0 ), .O(\skid_buffer[15]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h00000C0800000008)) \skid_buffer[15]_i_3 - (.I0(m_axi_rdata[108]), + (.I0(m_axi_rdata[140]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[44]), + .I5(m_axi_rdata[172]), .O(\skid_buffer[15]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0302000000020000)) \skid_buffer[15]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[12]), - .I2(m_axi_rdata[236]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[204]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[44]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[108]), .O(\skid_buffer[15]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[16]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[77]), .I2(\skid_buffer[16]_i_2_n_0 ), .I3(\skid_buffer[16]_i_3_n_0 ), .I4(\skid_buffer[16]_i_4_n_0 ), .O(\skid_buffer[16]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[16]_i_2 - (.I0(m_axi_rdata[173]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[141]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[13]), + .I2(m_axi_rdata[205]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[237]), + .I5(\skid_buffer[34]_i_7_n_0 ), .O(\skid_buffer[16]_i_2_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h00000C0800000008)) \skid_buffer[16]_i_3 + (.I0(m_axi_rdata[141]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[173]), + .O(\skid_buffer[16]_i_3_n_0 )); + LUT6 #( + .INIT(64'h0302000000020000)) + \skid_buffer[16]_i_4 (.I0(m_axi_rdata[45]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[1]), .I4(m_atarget_enc[0]), .I5(m_axi_rdata[109]), - .O(\skid_buffer[16]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[16]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[13]), - .I2(m_axi_rdata[205]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[237]), - .I5(\skid_buffer[34]_i_5_n_0 ), .O(\skid_buffer[16]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[17]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[78]), .I2(\skid_buffer[17]_i_2_n_0 ), .I3(\skid_buffer[17]_i_3_n_0 ), .I4(\skid_buffer[17]_i_4_n_0 ), .O(\skid_buffer[17]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[17]_i_2 - (.I0(m_axi_rdata[174]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[142]), + (.I0(m_axi_rdata[206]), + .I1(\skid_buffer[34]_i_6_n_0 ), + .I2(m_axi_rdata[238]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[14]), .O(\skid_buffer[17]_i_2_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h00000C0800000008)) \skid_buffer[17]_i_3 - (.I0(m_axi_rdata[46]), + (.I0(m_axi_rdata[142]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rdata[110]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[174]), .O(\skid_buffer[17]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0200030002000000)) \skid_buffer[17]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[14]), - .I2(m_axi_rdata[238]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[206]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[110]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[46]), .O(\skid_buffer[17]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[18]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[79]), .I2(\skid_buffer[18]_i_2_n_0 ), .I3(\skid_buffer[18]_i_3_n_0 ), .I4(\skid_buffer[18]_i_4_n_0 ), .O(\skid_buffer[18]_i_1_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[18]_i_2 - (.I0(m_axi_rdata[47]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rdata[111]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[15]), + .I2(m_axi_rdata[239]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[207]), + .I5(\skid_buffer[34]_i_6_n_0 ), .O(\skid_buffer[18]_i_2_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h00000C0800000008)) \skid_buffer[18]_i_3 - (.I0(m_axi_rdata[175]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[143]), + (.I0(m_axi_rdata[143]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[175]), .O(\skid_buffer[18]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0302000000020000)) \skid_buffer[18]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[15]), - .I2(m_axi_rdata[239]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[207]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[47]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[111]), .O(\skid_buffer[18]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[19]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[80]), .I2(\skid_buffer[19]_i_2_n_0 ), .I3(\skid_buffer[19]_i_3_n_0 ), .I4(\skid_buffer[19]_i_4_n_0 ), .O(\skid_buffer[19]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[19]_i_2 + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[16]), + .I2(m_axi_rdata[240]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[208]), + .I5(\skid_buffer[34]_i_6_n_0 ), + .O(\skid_buffer[19]_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000C0800000008)) + \skid_buffer[19]_i_3 (.I0(m_axi_rdata[144]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[176]), - .O(\skid_buffer[19]_i_2_n_0 )); + .O(\skid_buffer[19]_i_3_n_0 )); LUT6 #( .INIT(64'h0200030002000000)) - \skid_buffer[19]_i_3 + \skid_buffer[19]_i_4 (.I0(m_axi_rdata[112]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[48]), - .O(\skid_buffer[19]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[19]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[16]), - .I2(m_axi_rdata[208]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[240]), - .I5(\skid_buffer[34]_i_5_n_0 ), .O(\skid_buffer[19]_i_4_n_0 )); LUT6 #( .INIT(64'hFFFFFFFFFFFFFFF4)) \skid_buffer[1]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rresp[4]), .I2(\skid_buffer[1]_i_2_n_0 ), .I3(\skid_buffer[1]_i_3_n_0 ), @@ -5155,140 +5201,140 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I5(\skid_buffer[1]_i_5_n_0 ), .O(\skid_buffer[1]_i_1_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h080C000008000000)) \skid_buffer[1]_i_2 - (.I0(m_axi_rresp[2]), + (.I0(m_axi_rresp[14]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rresp[6]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rresp[12]), .O(\skid_buffer[1]_i_2_n_0 )); - LUT6 #( - .INIT(64'h0000230000002000)) + LUT5 #( + .INIT(32'h00050004)) \skid_buffer[1]_i_3 - (.I0(m_axi_rresp[10]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rresp[8]), + (.I0(m_atarget_enc[2]), + .I1(m_atarget_enc[3]), + .I2(m_atarget_enc[1]), + .I3(m_atarget_enc[0]), + .I4(m_axi_rresp[0]), .O(\skid_buffer[1]_i_3_n_0 )); - LUT5 #( - .INIT(32'h01010100)) + LUT6 #( + .INIT(64'h00000C0800000008)) \skid_buffer[1]_i_4 - (.I0(m_atarget_enc[1]), - .I1(m_atarget_enc[0]), - .I2(m_atarget_enc[2]), - .I3(m_atarget_enc[3]), - .I4(m_axi_rresp[0]), + (.I0(m_axi_rresp[8]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rresp[10]), .O(\skid_buffer[1]_i_4_n_0 )); LUT6 #( - .INIT(64'h0C00080000000800)) + .INIT(64'h0302000000020000)) \skid_buffer[1]_i_5 - (.I0(m_axi_rresp[12]), + (.I0(m_axi_rresp[2]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[1]), .I4(m_atarget_enc[0]), - .I5(m_axi_rresp[14]), + .I5(m_axi_rresp[6]), .O(\skid_buffer[1]_i_5_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[20]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[81]), .I2(\skid_buffer[20]_i_2_n_0 ), .I3(\skid_buffer[20]_i_3_n_0 ), .I4(\skid_buffer[20]_i_4_n_0 ), .O(\skid_buffer[20]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[20]_i_2 + (.I0(m_axi_rdata[209]), + .I1(\skid_buffer[34]_i_6_n_0 ), + .I2(m_axi_rdata[241]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[17]), + .O(\skid_buffer[20]_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000C0800000008)) + \skid_buffer[20]_i_3 (.I0(m_axi_rdata[145]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[177]), - .O(\skid_buffer[20]_i_2_n_0 )); + .O(\skid_buffer[20]_i_3_n_0 )); LUT6 #( .INIT(64'h0200030002000000)) - \skid_buffer[20]_i_3 + \skid_buffer[20]_i_4 (.I0(m_axi_rdata[113]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[49]), - .O(\skid_buffer[20]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[20]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[17]), - .I2(m_axi_rdata[209]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[241]), - .I5(\skid_buffer[34]_i_5_n_0 ), .O(\skid_buffer[20]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[21]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[82]), .I2(\skid_buffer[21]_i_2_n_0 ), .I3(\skid_buffer[21]_i_3_n_0 ), .I4(\skid_buffer[21]_i_4_n_0 ), .O(\skid_buffer[21]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[21]_i_2 - (.I0(m_axi_rdata[178]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[146]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[18]), + .I2(m_axi_rdata[210]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[242]), + .I5(\skid_buffer[34]_i_7_n_0 ), .O(\skid_buffer[21]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h00000C0800000008)) \skid_buffer[21]_i_3 - (.I0(m_axi_rdata[114]), + (.I0(m_axi_rdata[146]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[50]), + .I5(m_axi_rdata[178]), .O(\skid_buffer[21]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0302000000020000)) \skid_buffer[21]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[18]), - .I2(m_axi_rdata[242]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[210]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[50]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[114]), .O(\skid_buffer[21]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[22]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[83]), .I2(\skid_buffer[22]_i_2_n_0 ), .I3(\skid_buffer[22]_i_3_n_0 ), .I4(\skid_buffer[22]_i_4_n_0 ), .O(\skid_buffer[22]_i_1_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[22]_i_2 - (.I0(m_axi_rdata[51]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rdata[115]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[19]), + .I2(m_axi_rdata[211]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[243]), + .I5(\skid_buffer[34]_i_7_n_0 ), .O(\skid_buffer[22]_i_2_n_0 )); LUT6 #( .INIT(64'h00000C0800000008)) @@ -5301,111 +5347,111 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I5(m_axi_rdata[179]), .O(\skid_buffer[22]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0200030002000000)) \skid_buffer[22]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[19]), - .I2(m_axi_rdata[211]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[243]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[115]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[51]), .O(\skid_buffer[22]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[23]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[84]), .I2(\skid_buffer[23]_i_2_n_0 ), .I3(\skid_buffer[23]_i_3_n_0 ), .I4(\skid_buffer[23]_i_4_n_0 ), .O(\skid_buffer[23]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[23]_i_2 - (.I0(m_axi_rdata[180]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[148]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[20]), + .I2(m_axi_rdata[212]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[244]), + .I5(\skid_buffer[34]_i_7_n_0 ), .O(\skid_buffer[23]_i_2_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h00000C0800000008)) \skid_buffer[23]_i_3 - (.I0(m_axi_rdata[52]), + (.I0(m_axi_rdata[148]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rdata[116]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[180]), .O(\skid_buffer[23]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0200030002000000)) \skid_buffer[23]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[20]), - .I2(m_axi_rdata[244]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[212]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[116]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[52]), .O(\skid_buffer[23]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[24]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[85]), .I2(\skid_buffer[24]_i_2_n_0 ), .I3(\skid_buffer[24]_i_3_n_0 ), .I4(\skid_buffer[24]_i_4_n_0 ), .O(\skid_buffer[24]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[24]_i_2 - (.I0(m_axi_rdata[149]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[181]), + (.I0(m_axi_rdata[213]), + .I1(\skid_buffer[34]_i_6_n_0 ), + .I2(m_axi_rdata[245]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[21]), .O(\skid_buffer[24]_i_2_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h0000230000002000)) \skid_buffer[24]_i_3 + (.I0(m_axi_rdata[181]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_rdata[149]), + .O(\skid_buffer[24]_i_3_n_0 )); + LUT6 #( + .INIT(64'h0302000000020000)) + \skid_buffer[24]_i_4 (.I0(m_axi_rdata[53]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[1]), .I4(m_atarget_enc[0]), .I5(m_axi_rdata[117]), - .O(\skid_buffer[24]_i_3_n_0 )); - LUT6 #( - .INIT(64'h22F2FFFF22F222F2)) - \skid_buffer[24]_i_4 - (.I0(m_axi_rdata[245]), - .I1(\skid_buffer[34]_i_5_n_0 ), - .I2(m_axi_rdata[213]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(\skid_buffer_reg[3]_0 ), - .I5(m_axi_rdata[21]), .O(\skid_buffer[24]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[25]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[86]), .I2(\skid_buffer[25]_i_2_n_0 ), .I3(\skid_buffer[25]_i_3_n_0 ), .I4(\skid_buffer[25]_i_4_n_0 ), .O(\skid_buffer[25]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[25]_i_2 - (.I0(m_axi_rdata[150]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[182]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[22]), + .I2(m_axi_rdata[214]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[246]), + .I5(\skid_buffer[34]_i_7_n_0 ), .O(\skid_buffer[25]_i_2_n_0 )); LUT6 #( .INIT(64'h0302000000020000)) @@ -5418,175 +5464,175 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I5(m_axi_rdata[118]), .O(\skid_buffer[25]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0000230000002000)) \skid_buffer[25]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[22]), - .I2(m_axi_rdata[214]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[246]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[182]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_rdata[150]), .O(\skid_buffer[25]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[26]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[87]), .I2(\skid_buffer[26]_i_2_n_0 ), .I3(\skid_buffer[26]_i_3_n_0 ), .I4(\skid_buffer[26]_i_4_n_0 ), .O(\skid_buffer[26]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[26]_i_2 - (.I0(m_axi_rdata[151]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[183]), + (.I0(m_axi_rdata[215]), + .I1(\skid_buffer[34]_i_6_n_0 ), + .I2(m_axi_rdata[247]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[23]), .O(\skid_buffer[26]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h0302000000020000)) \skid_buffer[26]_i_3 - (.I0(m_axi_rdata[119]), + (.I0(m_axi_rdata[55]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[55]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[119]), .O(\skid_buffer[26]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h00000C0800000008)) \skid_buffer[26]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[23]), - .I2(m_axi_rdata[215]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[247]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[151]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[183]), .O(\skid_buffer[26]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[27]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[88]), .I2(\skid_buffer[27]_i_2_n_0 ), .I3(\skid_buffer[27]_i_3_n_0 ), .I4(\skid_buffer[27]_i_4_n_0 ), .O(\skid_buffer[27]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[27]_i_2 - (.I0(m_axi_rdata[184]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[152]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[24]), + .I2(m_axi_rdata[248]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[216]), + .I5(\skid_buffer[34]_i_6_n_0 ), .O(\skid_buffer[27]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h00000C0800000008)) \skid_buffer[27]_i_3 - (.I0(m_axi_rdata[120]), + (.I0(m_axi_rdata[152]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[56]), + .I5(m_axi_rdata[184]), .O(\skid_buffer[27]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0302000000020000)) \skid_buffer[27]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[24]), - .I2(m_axi_rdata[216]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[248]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[56]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[120]), .O(\skid_buffer[27]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[28]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[89]), .I2(\skid_buffer[28]_i_2_n_0 ), .I3(\skid_buffer[28]_i_3_n_0 ), .I4(\skid_buffer[28]_i_4_n_0 ), .O(\skid_buffer[28]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[28]_i_2 + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[25]), + .I2(m_axi_rdata[217]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[249]), + .I5(\skid_buffer[34]_i_7_n_0 ), + .O(\skid_buffer[28]_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000C0800000008)) + \skid_buffer[28]_i_3 (.I0(m_axi_rdata[153]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[185]), - .O(\skid_buffer[28]_i_2_n_0 )); - LUT6 #( - .INIT(64'h0200030002000000)) - \skid_buffer[28]_i_3 - (.I0(m_axi_rdata[121]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[57]), .O(\skid_buffer[28]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0302000000020000)) \skid_buffer[28]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[25]), - .I2(m_axi_rdata[217]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[249]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[57]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[121]), .O(\skid_buffer[28]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[29]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[90]), .I2(\skid_buffer[29]_i_2_n_0 ), .I3(\skid_buffer[29]_i_3_n_0 ), .I4(\skid_buffer[29]_i_4_n_0 ), .O(\skid_buffer[29]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[29]_i_2 + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[26]), + .I2(m_axi_rdata[250]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[218]), + .I5(\skid_buffer[34]_i_6_n_0 ), + .O(\skid_buffer[29]_i_2_n_0 )); + LUT6 #( + .INIT(64'h0000230000002000)) + \skid_buffer[29]_i_3 (.I0(m_axi_rdata[186]), .I1(m_atarget_enc[1]), .I2(m_atarget_enc[0]), .I3(m_atarget_enc[2]), .I4(m_atarget_enc[3]), .I5(m_axi_rdata[154]), - .O(\skid_buffer[29]_i_2_n_0 )); - LUT6 #( - .INIT(64'h0200030002000000)) - \skid_buffer[29]_i_3 - (.I0(m_axi_rdata[122]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[58]), .O(\skid_buffer[29]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0302000000020000)) \skid_buffer[29]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[26]), - .I2(m_axi_rdata[218]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[250]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[58]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[122]), .O(\skid_buffer[29]_i_4_n_0 )); LUT6 #( .INIT(64'hFFFFFFFFFFFFFFF4)) \skid_buffer[2]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rresp[5]), .I2(\skid_buffer[2]_i_2_n_0 ), .I3(\skid_buffer[2]_i_3_n_0 ), @@ -5594,382 +5640,399 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I5(\skid_buffer[2]_i_5_n_0 ), .O(\skid_buffer[2]_i_1_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h0000C80000000800)) \skid_buffer[2]_i_2 - (.I0(m_axi_rresp[3]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rresp[7]), - .O(\skid_buffer[2]_i_2_n_0 )); - LUT6 #( - .INIT(64'h0000230000002000)) - \skid_buffer[2]_i_3 - (.I0(m_axi_rresp[11]), + (.I0(m_axi_rresp[13]), .I1(m_atarget_enc[1]), .I2(m_atarget_enc[0]), .I3(m_atarget_enc[2]), .I4(m_atarget_enc[3]), - .I5(m_axi_rresp[9]), - .O(\skid_buffer[2]_i_3_n_0 )); + .I5(m_axi_rresp[15]), + .O(\skid_buffer[2]_i_2_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair29" *) LUT5 #( - .INIT(32'h01010100)) - \skid_buffer[2]_i_4 - (.I0(m_atarget_enc[1]), - .I1(m_atarget_enc[0]), - .I2(m_atarget_enc[2]), - .I3(m_atarget_enc[3]), + .INIT(32'h00050004)) + \skid_buffer[2]_i_3 + (.I0(m_atarget_enc[2]), + .I1(m_atarget_enc[3]), + .I2(m_atarget_enc[1]), + .I3(m_atarget_enc[0]), .I4(m_axi_rresp[1]), + .O(\skid_buffer[2]_i_3_n_0 )); + LUT6 #( + .INIT(64'h00000C0800000008)) + \skid_buffer[2]_i_4 + (.I0(m_axi_rresp[9]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rresp[11]), .O(\skid_buffer[2]_i_4_n_0 )); LUT6 #( - .INIT(64'h00008C0000008000)) + .INIT(64'h0200030002000000)) \skid_buffer[2]_i_5 - (.I0(m_axi_rresp[15]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rresp[13]), + (.I0(m_axi_rresp[7]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rresp[3]), .O(\skid_buffer[2]_i_5_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[30]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[91]), .I2(\skid_buffer[30]_i_2_n_0 ), .I3(\skid_buffer[30]_i_3_n_0 ), .I4(\skid_buffer[30]_i_4_n_0 ), .O(\skid_buffer[30]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[30]_i_2 - (.I0(m_axi_rdata[187]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[155]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[27]), + .I2(m_axi_rdata[219]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[251]), + .I5(\skid_buffer[34]_i_7_n_0 ), .O(\skid_buffer[30]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h00000C0800000008)) \skid_buffer[30]_i_3 - (.I0(m_axi_rdata[123]), + (.I0(m_axi_rdata[155]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[59]), + .I5(m_axi_rdata[187]), .O(\skid_buffer[30]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0200030002000000)) \skid_buffer[30]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[27]), - .I2(m_axi_rdata[219]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[251]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[123]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[59]), .O(\skid_buffer[30]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[31]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[92]), .I2(\skid_buffer[31]_i_2_n_0 ), .I3(\skid_buffer[31]_i_3_n_0 ), .I4(\skid_buffer[31]_i_4_n_0 ), .O(\skid_buffer[31]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[31]_i_2 - (.I0(m_axi_rdata[188]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[156]), + (.I0(m_axi_rdata[252]), + .I1(\skid_buffer[34]_i_7_n_0 ), + .I2(m_axi_rdata[220]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[28]), .O(\skid_buffer[31]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h0302000000020000)) \skid_buffer[31]_i_3 - (.I0(m_axi_rdata[124]), + (.I0(m_axi_rdata[60]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[60]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[124]), .O(\skid_buffer[31]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h00000C0800000008)) \skid_buffer[31]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[28]), - .I2(m_axi_rdata[252]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[220]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[156]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[188]), .O(\skid_buffer[31]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[32]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[93]), .I2(\skid_buffer[32]_i_2_n_0 ), .I3(\skid_buffer[32]_i_3_n_0 ), .I4(\skid_buffer[32]_i_4_n_0 ), .O(\skid_buffer[32]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[32]_i_2 - (.I0(m_axi_rdata[157]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[189]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[29]), + .I2(m_axi_rdata[253]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[221]), + .I5(\skid_buffer[34]_i_6_n_0 ), .O(\skid_buffer[32]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h0000230000002000)) \skid_buffer[32]_i_3 + (.I0(m_axi_rdata[189]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_rdata[157]), + .O(\skid_buffer[32]_i_3_n_0 )); + LUT6 #( + .INIT(64'h0200030002000000)) + \skid_buffer[32]_i_4 (.I0(m_axi_rdata[125]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[61]), - .O(\skid_buffer[32]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[32]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[29]), - .I2(m_axi_rdata[221]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[253]), - .I5(\skid_buffer[34]_i_5_n_0 ), .O(\skid_buffer[32]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[33]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[94]), .I2(\skid_buffer[33]_i_2_n_0 ), .I3(\skid_buffer[33]_i_3_n_0 ), .I4(\skid_buffer[33]_i_4_n_0 ), .O(\skid_buffer[33]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[33]_i_2 - (.I0(m_axi_rdata[158]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[190]), + (.I0(m_axi_rdata[254]), + .I1(\skid_buffer[34]_i_7_n_0 ), + .I2(m_axi_rdata[222]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[30]), .O(\skid_buffer[33]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h0000230000002000)) \skid_buffer[33]_i_3 + (.I0(m_axi_rdata[190]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_rdata[158]), + .O(\skid_buffer[33]_i_3_n_0 )); + LUT6 #( + .INIT(64'h0200030002000000)) + \skid_buffer[33]_i_4 (.I0(m_axi_rdata[126]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[62]), - .O(\skid_buffer[33]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[33]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[30]), - .I2(m_axi_rdata[222]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[254]), - .I5(\skid_buffer[34]_i_5_n_0 ), .O(\skid_buffer[33]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[34]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[95]), - .I2(\skid_buffer[34]_i_2_n_0 ), - .I3(\skid_buffer[34]_i_3_n_0 ), - .I4(\skid_buffer[34]_i_4_n_0 ), + .I2(\skid_buffer[34]_i_3_n_0 ), + .I3(\skid_buffer[34]_i_4_n_0 ), + .I4(\skid_buffer[34]_i_5_n_0 ), .O(\skid_buffer[34]_i_1_n_0 )); - LUT6 #( - .INIT(64'h0200030002000000)) + LUT4 #( + .INIT(16'hFEFF)) \skid_buffer[34]_i_2 - (.I0(m_axi_rdata[127]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[63]), + (.I0(m_atarget_enc[2]), + .I1(m_atarget_enc[3]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[1]), .O(\skid_buffer[34]_i_2_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[34]_i_3 - (.I0(m_axi_rdata[191]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[159]), + (.I0(m_axi_rdata[223]), + .I1(\skid_buffer[34]_i_6_n_0 ), + .I2(m_axi_rdata[255]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[31]), .O(\skid_buffer[34]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h00000C0800000008)) \skid_buffer[34]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[31]), - .I2(m_axi_rdata[223]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[255]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[159]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[191]), .O(\skid_buffer[34]_i_4_n_0 )); - LUT4 #( - .INIT(16'hDFFF)) + LUT6 #( + .INIT(64'h0302000000020000)) \skid_buffer[34]_i_5 + (.I0(m_axi_rdata[63]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[127]), + .O(\skid_buffer[34]_i_5_n_0 )); + LUT4 #( + .INIT(16'hFDFF)) + \skid_buffer[34]_i_6 (.I0(m_atarget_enc[2]), .I1(m_atarget_enc[3]), - .I2(m_atarget_enc[1]), - .I3(m_atarget_enc[0]), - .O(\skid_buffer[34]_i_5_n_0 )); + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[1]), + .O(\skid_buffer[34]_i_6_n_0 )); + LUT4 #( + .INIT(16'hFF7F)) + \skid_buffer[34]_i_7 + (.I0(m_atarget_enc[1]), + .I1(m_atarget_enc[0]), + .I2(m_atarget_enc[2]), + .I3(m_atarget_enc[3]), + .O(\skid_buffer[34]_i_7_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[3]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[64]), .I2(\skid_buffer[3]_i_2_n_0 ), .I3(\skid_buffer[3]_i_3_n_0 ), .I4(\skid_buffer[3]_i_4_n_0 ), .O(\skid_buffer[3]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[3]_i_2 + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[0]), + .I2(m_axi_rdata[224]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[192]), + .I5(\skid_buffer[34]_i_6_n_0 ), + .O(\skid_buffer[3]_i_2_n_0 )); + LUT6 #( + .INIT(64'h0000230000002000)) + \skid_buffer[3]_i_3 (.I0(m_axi_rdata[160]), .I1(m_atarget_enc[1]), .I2(m_atarget_enc[0]), .I3(m_atarget_enc[2]), .I4(m_atarget_enc[3]), .I5(m_axi_rdata[128]), - .O(\skid_buffer[3]_i_2_n_0 )); - LUT6 #( - .INIT(64'h0200030002000000)) - \skid_buffer[3]_i_3 - (.I0(m_axi_rdata[96]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[32]), .O(\skid_buffer[3]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0302000000020000)) \skid_buffer[3]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[0]), - .I2(m_axi_rdata[192]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[224]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[32]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[96]), .O(\skid_buffer[3]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[4]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[65]), .I2(\skid_buffer[4]_i_2_n_0 ), .I3(\skid_buffer[4]_i_3_n_0 ), .I4(\skid_buffer[4]_i_4_n_0 ), .O(\skid_buffer[4]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[4]_i_2 + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[1]), + .I2(m_axi_rdata[193]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(m_axi_rdata[225]), + .I5(\skid_buffer[34]_i_7_n_0 ), + .O(\skid_buffer[4]_i_2_n_0 )); + LUT6 #( + .INIT(64'h0000230000002000)) + \skid_buffer[4]_i_3 (.I0(m_axi_rdata[161]), .I1(m_atarget_enc[1]), .I2(m_atarget_enc[0]), .I3(m_atarget_enc[2]), .I4(m_atarget_enc[3]), .I5(m_axi_rdata[129]), - .O(\skid_buffer[4]_i_2_n_0 )); - LUT6 #( - .INIT(64'h0302000000020000)) - \skid_buffer[4]_i_3 - (.I0(m_axi_rdata[33]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rdata[97]), .O(\skid_buffer[4]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0200030002000000)) \skid_buffer[4]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[1]), - .I2(m_axi_rdata[225]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[193]), - .I5(\skid_buffer_reg[3]_1 ), + (.I0(m_axi_rdata[97]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[33]), .O(\skid_buffer[4]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[5]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[66]), .I2(\skid_buffer[5]_i_2_n_0 ), .I3(\skid_buffer[5]_i_3_n_0 ), .I4(\skid_buffer[5]_i_4_n_0 ), .O(\skid_buffer[5]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[5]_i_2 - (.I0(m_axi_rdata[162]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[130]), + (.I0(m_axi_rdata[194]), + .I1(\skid_buffer[34]_i_6_n_0 ), + .I2(m_axi_rdata[226]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[2]), .O(\skid_buffer[5]_i_2_n_0 )); LUT6 #( - .INIT(64'h0200030002000000)) + .INIT(64'h00000C0800000008)) \skid_buffer[5]_i_3 - (.I0(m_axi_rdata[98]), + (.I0(m_axi_rdata[130]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[34]), + .I5(m_axi_rdata[162]), .O(\skid_buffer[5]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0302000000020000)) \skid_buffer[5]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[2]), - .I2(m_axi_rdata[194]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[226]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[34]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[1]), + .I4(m_atarget_enc[0]), + .I5(m_axi_rdata[98]), .O(\skid_buffer[5]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[6]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[67]), .I2(\skid_buffer[6]_i_2_n_0 ), .I3(\skid_buffer[6]_i_3_n_0 ), .I4(\skid_buffer[6]_i_4_n_0 ), .O(\skid_buffer[6]_i_1_n_0 )); LUT6 #( - .INIT(64'h0000230000002000)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[6]_i_2 - (.I0(m_axi_rdata[163]), - .I1(m_atarget_enc[1]), - .I2(m_atarget_enc[0]), - .I3(m_atarget_enc[2]), - .I4(m_atarget_enc[3]), - .I5(m_axi_rdata[131]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[3]), + .I2(m_axi_rdata[227]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[195]), + .I5(\skid_buffer[34]_i_6_n_0 ), .O(\skid_buffer[6]_i_2_n_0 )); LUT6 #( .INIT(64'h0302000000020000)) @@ -5982,131 +6045,131 @@ module system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice .I5(m_axi_rdata[99]), .O(\skid_buffer[6]_i_3_n_0 )); LUT6 #( - .INIT(64'h22F2FFFF22F222F2)) + .INIT(64'h0000230000002000)) \skid_buffer[6]_i_4 - (.I0(m_axi_rdata[227]), - .I1(\skid_buffer[34]_i_5_n_0 ), - .I2(m_axi_rdata[195]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(\skid_buffer_reg[3]_0 ), - .I5(m_axi_rdata[3]), + (.I0(m_axi_rdata[163]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_rdata[131]), .O(\skid_buffer[6]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[7]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[68]), .I2(\skid_buffer[7]_i_2_n_0 ), .I3(\skid_buffer[7]_i_3_n_0 ), .I4(\skid_buffer[7]_i_4_n_0 ), .O(\skid_buffer[7]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[7]_i_2 + (.I0(m_axi_rdata[228]), + .I1(\skid_buffer[34]_i_7_n_0 ), + .I2(m_axi_rdata[196]), + .I3(\skid_buffer[34]_i_6_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[4]), + .O(\skid_buffer[7]_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000C0800000008)) + \skid_buffer[7]_i_3 (.I0(m_axi_rdata[132]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[164]), - .O(\skid_buffer[7]_i_2_n_0 )); + .O(\skid_buffer[7]_i_3_n_0 )); LUT6 #( .INIT(64'h0200030002000000)) - \skid_buffer[7]_i_3 + \skid_buffer[7]_i_4 (.I0(m_axi_rdata[100]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[0]), .I4(m_atarget_enc[1]), .I5(m_axi_rdata[36]), - .O(\skid_buffer[7]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[7]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[4]), - .I2(m_axi_rdata[196]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[228]), - .I5(\skid_buffer[34]_i_5_n_0 ), .O(\skid_buffer[7]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[8]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[69]), .I2(\skid_buffer[8]_i_2_n_0 ), .I3(\skid_buffer[8]_i_3_n_0 ), .I4(\skid_buffer[8]_i_4_n_0 ), .O(\skid_buffer[8]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h22F2FFFF22F222F2)) \skid_buffer[8]_i_2 - (.I0(m_axi_rdata[133]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[165]), + (.I0(m_axi_rdata[197]), + .I1(\skid_buffer[34]_i_6_n_0 ), + .I2(m_axi_rdata[229]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(\skid_buffer_reg[3]_0 ), + .I5(m_axi_rdata[5]), .O(\skid_buffer[8]_i_2_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h0000230000002000)) \skid_buffer[8]_i_3 + (.I0(m_axi_rdata[165]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_rdata[133]), + .O(\skid_buffer[8]_i_3_n_0 )); + LUT6 #( + .INIT(64'h0302000000020000)) + \skid_buffer[8]_i_4 (.I0(m_axi_rdata[37]), .I1(m_atarget_enc[2]), .I2(m_atarget_enc[3]), .I3(m_atarget_enc[1]), .I4(m_atarget_enc[0]), .I5(m_axi_rdata[101]), - .O(\skid_buffer[8]_i_3_n_0 )); - LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) - \skid_buffer[8]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[5]), - .I2(m_axi_rdata[229]), - .I3(\skid_buffer[34]_i_5_n_0 ), - .I4(m_axi_rdata[197]), - .I5(\skid_buffer_reg[3]_1 ), .O(\skid_buffer[8]_i_4_n_0 )); LUT5 #( .INIT(32'hFFFFFFF4)) \skid_buffer[9]_i_1 - (.I0(\skid_buffer_reg[2]_0 ), + (.I0(\skid_buffer[34]_i_2_n_0 ), .I1(m_axi_rdata[70]), .I2(\skid_buffer[9]_i_2_n_0 ), .I3(\skid_buffer[9]_i_3_n_0 ), .I4(\skid_buffer[9]_i_4_n_0 ), .O(\skid_buffer[9]_i_1_n_0 )); LUT6 #( - .INIT(64'h00000C0800000008)) + .INIT(64'h44F444F4FFFF44F4)) \skid_buffer[9]_i_2 - (.I0(m_axi_rdata[134]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[0]), - .I4(m_atarget_enc[1]), - .I5(m_axi_rdata[166]), + (.I0(\skid_buffer_reg[3]_0 ), + .I1(m_axi_rdata[6]), + .I2(m_axi_rdata[230]), + .I3(\skid_buffer[34]_i_7_n_0 ), + .I4(m_axi_rdata[198]), + .I5(\skid_buffer[34]_i_6_n_0 ), .O(\skid_buffer[9]_i_2_n_0 )); LUT6 #( - .INIT(64'h0302000000020000)) + .INIT(64'h0000230000002000)) \skid_buffer[9]_i_3 - (.I0(m_axi_rdata[38]), - .I1(m_atarget_enc[2]), - .I2(m_atarget_enc[3]), - .I3(m_atarget_enc[1]), - .I4(m_atarget_enc[0]), - .I5(m_axi_rdata[102]), + (.I0(m_axi_rdata[166]), + .I1(m_atarget_enc[1]), + .I2(m_atarget_enc[0]), + .I3(m_atarget_enc[2]), + .I4(m_atarget_enc[3]), + .I5(m_axi_rdata[134]), .O(\skid_buffer[9]_i_3_n_0 )); LUT6 #( - .INIT(64'h44F444F4FFFF44F4)) + .INIT(64'h0200030002000000)) \skid_buffer[9]_i_4 - (.I0(\skid_buffer_reg[3]_0 ), - .I1(m_axi_rdata[6]), - .I2(m_axi_rdata[198]), - .I3(\skid_buffer_reg[3]_1 ), - .I4(m_axi_rdata[230]), - .I5(\skid_buffer[34]_i_5_n_0 ), + (.I0(m_axi_rdata[102]), + .I1(m_atarget_enc[2]), + .I2(m_atarget_enc[3]), + .I3(m_atarget_enc[0]), + .I4(m_atarget_enc[1]), + .I5(m_axi_rdata[38]), .O(\skid_buffer[9]_i_4_n_0 )); FDRE \skid_buffer_reg[0] (.C(aclk), diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.vhdl index 11b8f7b58b637a5cb606aaeb0de8d6fa2c4a2979..1ae3b3d88f9be2c94c013d1386f4fab5c2fe8273 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Tue Jun 20 20:01:34 2017 +-- Date : Mon Dec 18 11:25:01 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode funcsim -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_sim_netlist.vhdl @@ -18,45 +18,48 @@ entity system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd is port ( m_valid_i : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); + aa_grant_any : out STD_LOGIC; aa_grant_rnw : out STD_LOGIC; - D : out STD_LOGIC_VECTOR ( 7 downto 0 ); - \m_atarget_hot_reg[7]\ : out STD_LOGIC; - \m_atarget_hot_reg[7]_0\ : out STD_LOGIC; - \m_atarget_hot_reg[7]_1\ : out STD_LOGIC; + \m_ready_d_reg[2]\ : out STD_LOGIC; + \gen_no_arbiter.m_valid_i_reg_0\ : out STD_LOGIC; + D : out STD_LOGIC_VECTOR ( 8 downto 0 ); + m_atarget_enc_comb : out STD_LOGIC_VECTOR ( 0 to 0 ); + \m_atarget_enc_reg[0]\ : out STD_LOGIC; + \m_atarget_enc_reg[3]\ : out STD_LOGIC; + \m_atarget_hot_reg[4]\ : out STD_LOGIC; + \m_atarget_hot_reg[1]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 34 downto 0 ); s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); - \m_ready_d_reg[2]\ : out STD_LOGIC; - \gen_axilite.s_axi_bvalid_i_reg\ : out STD_LOGIC; \m_ready_d_reg[2]_0\ : out STD_LOGIC; - m_axi_bready : out STD_LOGIC_VECTOR ( 6 downto 0 ); + \gen_axilite.s_axi_bvalid_i_reg\ : out STD_LOGIC; + \m_ready_d_reg[2]_1\ : out STD_LOGIC; + m_axi_bready : out STD_LOGIC_VECTOR ( 7 downto 0 ); \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ : out STD_LOGIC; s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); - \m_ready_d_reg[2]_1\ : out STD_LOGIC; - \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ : out STD_LOGIC; - m_axi_wvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); - m_axi_awvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); + \gen_axilite.s_axi_bvalid_i_reg_0\ : out STD_LOGIC; + \m_ready_d_reg[2]_2\ : out STD_LOGIC; + \m_ready_d_reg[2]_3\ : out STD_LOGIC; + m_axi_wvalid : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awvalid : out STD_LOGIC_VECTOR ( 7 downto 0 ); \m_ready_d_reg[0]\ : out STD_LOGIC; \m_ready_d_reg[0]_0\ : out STD_LOGIC; - \gen_axilite.s_axi_bvalid_i_reg_0\ : out STD_LOGIC; - s_ready_i_reg : out STD_LOGIC; + \gen_axilite.s_axi_bvalid_i_reg_1\ : out STD_LOGIC; + \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_no_arbiter.m_valid_i_reg_0\ : out STD_LOGIC; - s_ready_i_reg_0 : out STD_LOGIC; - m_axi_arvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); - \gen_axilite.s_axi_rvalid_i_reg\ : out STD_LOGIC; + m_valid_i_reg : out STD_LOGIC; \m_ready_d_reg[0]_1\ : out STD_LOGIC; + m_axi_arvalid : out STD_LOGIC_VECTOR ( 7 downto 0 ); + \gen_axilite.s_axi_rvalid_i_reg\ : out STD_LOGIC; + \m_atarget_hot_reg[7]\ : out STD_LOGIC; + f_hot2enc_return0 : out STD_LOGIC; \m_ready_d_reg[0]_2\ : out STD_LOGIC; \gen_no_arbiter.m_grant_hot_i_reg[0]_2\ : out STD_LOGIC; - \m_ready_d_reg[0]_3\ : out STD_LOGIC; \gen_no_arbiter.m_grant_hot_i_reg[0]_3\ : out STD_LOGIC; + \m_ready_d_reg[0]_3\ : out STD_LOGIC; + \m_ready_d_reg[0]_4\ : out STD_LOGIC; s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); - s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); - \m_atarget_enc_reg[0]\ : out STD_LOGIC; - \m_atarget_enc_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - \m_atarget_hot_reg[2]\ : out STD_LOGIC; - \gen_axilite.s_axi_bvalid_i_reg_1\ : out STD_LOGIC; - \gen_axilite.s_axi_awready_i_reg\ : out STD_LOGIC; + \gen_axilite.s_axi_bvalid_i_reg_2\ : out STD_LOGIC; aclk : in STD_LOGIC; m_atarget_enc : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_ready_d : in STD_LOGIC_VECTOR ( 2 downto 0 ); @@ -65,31 +68,30 @@ entity system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd is \m_atarget_enc_reg[1]\ : in STD_LOGIC; \m_atarget_enc_reg[1]_0\ : in STD_LOGIC; \m_atarget_enc_reg[2]\ : in STD_LOGIC; - \m_atarget_hot_reg[8]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); + \m_atarget_hot_reg[8]\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_axilite.s_axi_awready_i_reg_0\ : in STD_LOGIC; + \m_atarget_enc_reg[0]_0\ : in STD_LOGIC; \m_atarget_enc_reg[3]_0\ : in STD_LOGIC; + \m_atarget_enc_reg[0]_1\ : in STD_LOGIC; + mi_wready : in STD_LOGIC_VECTOR ( 0 to 0 ); + \m_atarget_enc_reg[0]_2\ : in STD_LOGIC; \m_atarget_enc_reg[2]_0\ : in STD_LOGIC; - \m_atarget_enc_reg[3]_1\ : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); - m_valid_i_reg : in STD_LOGIC; \m_atarget_enc_reg[1]_1\ : in STD_LOGIC; - \m_atarget_enc_reg[1]_2\ : in STD_LOGIC; - \m_atarget_enc_reg[2]_1\ : in STD_LOGIC; - \m_atarget_enc_reg[2]_2\ : in STD_LOGIC; + m_ready_d0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); sr_rvalid : in STD_LOGIC; - m_axi_arready : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arready : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); - mi_wready : in STD_LOGIC_VECTOR ( 0 to 0 ); mi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + \m_atarget_enc_reg[0]_3\ : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; @@ -99,45 +101,45 @@ end system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd; architecture STRUCTURE of system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd is signal \^q\ : STD_LOGIC_VECTOR ( 34 downto 0 ); signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal aa_grant_any : STD_LOGIC; + signal \^aa_grant_any\ : STD_LOGIC; signal \^aa_grant_rnw\ : STD_LOGIC; - signal \gen_axilite.s_axi_bvalid_i_i_2_n_0\ : STD_LOGIC; signal \^gen_axilite.s_axi_bvalid_i_reg\ : STD_LOGIC; signal \^gen_axilite.s_axi_bvalid_i_reg_0\ : STD_LOGIC; + signal \^gen_axilite.s_axi_bvalid_i_reg_1\ : STD_LOGIC; signal \gen_no_arbiter.grant_rnw_i_1_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_grant_hot_i[0]_i_1_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_grant_hot_i[0]_i_2_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_grant_hot_i[0]_i_3_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_grant_hot_i[0]_i_4_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_grant_hot_i[0]_i_5_n_0\ : STD_LOGIC; - signal \gen_no_arbiter.m_grant_hot_i[0]_i_6_n_0\ : STD_LOGIC; signal \^gen_no_arbiter.m_grant_hot_i_reg[0]_1\ : STD_LOGIC; signal \gen_no_arbiter.m_valid_i_i_1_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_valid_i_i_2_n_0\ : STD_LOGIC; - signal \gen_no_arbiter.m_valid_i_i_3_n_0\ : STD_LOGIC; - signal \gen_no_arbiter.m_valid_i_i_5_n_0\ : STD_LOGIC; signal \gen_no_arbiter.m_valid_i_i_6_n_0\ : STD_LOGIC; - signal \gen_no_arbiter.m_valid_i_i_8_n_0\ : STD_LOGIC; - signal \^gen_no_arbiter.m_valid_i_reg_0\ : STD_LOGIC; + signal \gen_no_arbiter.m_valid_i_i_7_n_0\ : STD_LOGIC; signal \gen_no_arbiter.s_ready_i[0]_i_1_n_0\ : STD_LOGIC; - signal \m_atarget_enc[0]_i_3_n_0\ : STD_LOGIC; - signal \m_atarget_enc[0]_i_4_n_0\ : STD_LOGIC; - signal \m_atarget_hot[1]_i_2_n_0\ : STD_LOGIC; + signal \m_atarget_enc[2]_i_3_n_0\ : STD_LOGIC; + signal \m_atarget_enc[3]_i_2_n_0\ : STD_LOGIC; + signal \m_atarget_enc[3]_i_4_n_0\ : STD_LOGIC; + signal \^m_atarget_enc_comb\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^m_atarget_enc_reg[3]\ : STD_LOGIC; + signal \m_atarget_hot[0]_i_2_n_0\ : STD_LOGIC; + signal \m_atarget_hot[0]_i_3_n_0\ : STD_LOGIC; signal \m_atarget_hot[6]_i_2_n_0\ : STD_LOGIC; signal \m_atarget_hot[6]_i_3_n_0\ : STD_LOGIC; - signal \m_atarget_hot[6]_i_4_n_0\ : STD_LOGIC; - signal \m_atarget_hot[7]_i_2_n_0\ : STD_LOGIC; signal \m_atarget_hot[7]_i_3_n_0\ : STD_LOGIC; - signal \^m_atarget_hot_reg[2]\ : STD_LOGIC; + signal \^m_atarget_hot_reg[1]\ : STD_LOGIC; + signal \^m_atarget_hot_reg[4]\ : STD_LOGIC; signal \^m_atarget_hot_reg[7]\ : STD_LOGIC; - signal \^m_atarget_hot_reg[7]_0\ : STD_LOGIC; - signal \^m_atarget_hot_reg[7]_1\ : STD_LOGIC; - signal \m_ready_d[2]_i_9_n_0\ : STD_LOGIC; + signal \m_ready_d[2]_i_5_n_0\ : STD_LOGIC; + signal \m_ready_d[2]_i_6_n_0\ : STD_LOGIC; signal \^m_ready_d_reg[0]\ : STD_LOGIC; + signal \^m_ready_d_reg[0]_1\ : STD_LOGIC; signal \^m_ready_d_reg[2]\ : STD_LOGIC; signal \^m_ready_d_reg[2]_0\ : STD_LOGIC; + signal \^m_ready_d_reg[2]_1\ : STD_LOGIC; + signal \^m_ready_d_reg[2]_3\ : STD_LOGIC; signal \^m_valid_i\ : STD_LOGIC; - signal m_valid_i_i_4_n_0 : STD_LOGIC; signal p_0_in1_in : STD_LOGIC; signal s_amesg : STD_LOGIC_VECTOR ( 48 downto 1 ); signal \s_arvalid_reg[0]_i_1_n_0\ : STD_LOGIC; @@ -145,109 +147,100 @@ architecture STRUCTURE of system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter signal s_awvalid_reg : STD_LOGIC; signal \s_awvalid_reg[0]_i_1_n_0\ : STD_LOGIC; signal \s_axi_bvalid[0]_INST_0_i_3_n_0\ : STD_LOGIC; - signal \s_axi_wready[0]_INST_0_i_1_n_0\ : STD_LOGIC; - signal \s_axi_wready[0]_INST_0_i_2_n_0\ : STD_LOGIC; signal s_ready_i : STD_LOGIC; signal \splitter_aw/m_ready_d0\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \gen_axilite.s_axi_bvalid_i_i_2\ : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \gen_axilite.s_axi_bvalid_i_i_3\ : label is "soft_lutpair25"; - attribute SOFT_HLUTNM of \gen_axilite.s_axi_rvalid_i_i_2\ : label is "soft_lutpair25"; - attribute SOFT_HLUTNM of \gen_no_arbiter.m_grant_hot_i[0]_i_2\ : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \gen_no_arbiter.m_grant_hot_i[0]_i_3\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \gen_no_arbiter.m_grant_hot_i[0]_i_5\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \gen_no_arbiter.m_grant_hot_i[0]_i_6\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \gen_no_arbiter.m_valid_i_i_5\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_1\ : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \m_atarget_enc[0]_i_3\ : label is "soft_lutpair12"; - attribute SOFT_HLUTNM of \m_atarget_hot[1]_i_2\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \m_atarget_hot[2]_i_1\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \m_atarget_hot[2]_i_2\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \m_atarget_hot[4]_i_1\ : label is "soft_lutpair26"; - attribute SOFT_HLUTNM of \m_atarget_hot[5]_i_1\ : label is "soft_lutpair26"; - attribute SOFT_HLUTNM of \m_atarget_hot[6]_i_2\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \m_atarget_hot[6]_i_3\ : label is "soft_lutpair12"; - attribute SOFT_HLUTNM of \m_atarget_hot[7]_i_1\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \m_atarget_hot[7]_i_3\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \m_atarget_hot[8]_i_1\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \m_atarget_hot[8]_i_2\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \m_atarget_hot[8]_i_4\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \m_axi_arvalid[0]_INST_0\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \m_axi_arvalid[1]_INST_0\ : label is "soft_lutpair21"; - attribute SOFT_HLUTNM of \m_axi_arvalid[2]_INST_0\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \m_axi_arvalid[4]_INST_0\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \m_axi_arvalid[5]_INST_0\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \m_axi_arvalid[6]_INST_0\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \m_axi_arvalid[7]_INST_0\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \m_axi_awvalid[0]_INST_0\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \m_axi_awvalid[1]_INST_0\ : label is "soft_lutpair21"; - attribute SOFT_HLUTNM of \m_axi_awvalid[2]_INST_0\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \m_axi_awvalid[4]_INST_0\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \m_axi_awvalid[5]_INST_0\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \m_axi_awvalid[6]_INST_0\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \m_axi_awvalid[7]_INST_0\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \m_axi_bready[5]_INST_0\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \m_axi_bready[7]_INST_0\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \m_axi_wvalid[0]_INST_0\ : label is "soft_lutpair0"; - attribute SOFT_HLUTNM of \m_axi_wvalid[2]_INST_0\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \m_axi_wvalid[4]_INST_0\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \m_axi_wvalid[6]_INST_0\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \m_ready_d[1]_i_6\ : label is "soft_lutpair24"; - attribute SOFT_HLUTNM of \m_ready_d[2]_i_2\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \m_ready_d[2]_i_8\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \m_ready_d[2]_i_9\ : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of m_valid_i_i_4 : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of m_valid_i_i_7 : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \gen_axilite.s_axi_bvalid_i_i_2\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \gen_axilite.s_axi_bvalid_i_i_3\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \gen_axilite.s_axi_rvalid_i_i_2\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \gen_no_arbiter.m_grant_hot_i[0]_i_2\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \gen_no_arbiter.m_grant_hot_i[0]_i_4\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \gen_no_arbiter.m_grant_hot_i[0]_i_5\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \gen_no_arbiter.m_grant_hot_i[0]_i_6\ : label is "soft_lutpair4"; + attribute SOFT_HLUTNM of \gen_no_arbiter.m_valid_i_i_9\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_1\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \m_atarget_enc[3]_i_4\ : label is "soft_lutpair0"; + attribute SOFT_HLUTNM of \m_atarget_hot[0]_i_1\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \m_atarget_hot[0]_i_2\ : label is "soft_lutpair0"; + attribute SOFT_HLUTNM of \m_atarget_hot[1]_i_1\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \m_atarget_hot[2]_i_1\ : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \m_atarget_hot[3]_i_1\ : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \m_atarget_hot[3]_i_2\ : label is "soft_lutpair3"; + attribute SOFT_HLUTNM of \m_atarget_hot[4]_i_1\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \m_atarget_hot[5]_i_1\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \m_atarget_hot[5]_i_2\ : label is "soft_lutpair3"; + attribute SOFT_HLUTNM of \m_atarget_hot[6]_i_1\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \m_atarget_hot[7]_i_1\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \m_atarget_hot[8]_i_1\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \m_axi_arvalid[0]_INST_0\ : label is "soft_lutpair22"; + attribute SOFT_HLUTNM of \m_axi_arvalid[1]_INST_0\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \m_axi_arvalid[2]_INST_0\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \m_axi_arvalid[3]_INST_0\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of \m_axi_arvalid[4]_INST_0\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \m_axi_arvalid[5]_INST_0\ : label is "soft_lutpair20"; + attribute SOFT_HLUTNM of \m_axi_arvalid[6]_INST_0\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \m_axi_arvalid[7]_INST_0\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \m_axi_awvalid[0]_INST_0\ : label is "soft_lutpair22"; + attribute SOFT_HLUTNM of \m_axi_awvalid[1]_INST_0\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \m_axi_awvalid[2]_INST_0\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \m_axi_awvalid[3]_INST_0\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of \m_axi_awvalid[4]_INST_0\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \m_axi_awvalid[5]_INST_0\ : label is "soft_lutpair20"; + attribute SOFT_HLUTNM of \m_axi_awvalid[6]_INST_0\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \m_axi_awvalid[7]_INST_0\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \m_axi_bready[0]_INST_0\ : label is "soft_lutpair9"; + attribute SOFT_HLUTNM of \m_axi_bready[7]_INST_0\ : label is "soft_lutpair10"; + attribute SOFT_HLUTNM of \m_axi_wvalid[2]_INST_0\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \m_axi_wvalid[3]_INST_0\ : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of \m_axi_wvalid[5]_INST_0\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \m_axi_wvalid[7]_INST_0\ : label is "soft_lutpair4"; + attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair5"; + attribute SOFT_HLUTNM of \m_ready_d[1]_i_6\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \m_ready_d[2]_i_10\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \m_ready_d[2]_i_2\ : label is "soft_lutpair9"; + attribute SOFT_HLUTNM of \m_ready_d[2]_i_5\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of m_valid_i_i_3 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \s_arvalid_reg[0]_i_1\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \s_axi_arready[0]_INST_0\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \s_axi_awready[0]_INST_0\ : label is "soft_lutpair17"; - attribute SOFT_HLUTNM of \s_axi_bvalid[0]_INST_0\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \s_axi_bvalid[0]_INST_0_i_3\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \s_axi_bvalid[0]_INST_0_i_4\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \s_axi_bvalid[0]_INST_0\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \s_axi_bvalid[0]_INST_0_i_3\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \s_axi_bvalid[0]_INST_0_i_4\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \s_axi_bvalid[0]_INST_0_i_6\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \s_axi_rvalid[0]_INST_0\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of \s_axi_wready[0]_INST_0\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of \s_axi_wready[0]_INST_0_i_3\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \s_axi_wready[0]_INST_0_i_4\ : label is "soft_lutpair2"; begin Q(34 downto 0) <= \^q\(34 downto 0); SR(0) <= \^sr\(0); + aa_grant_any <= \^aa_grant_any\; aa_grant_rnw <= \^aa_grant_rnw\; \gen_axilite.s_axi_bvalid_i_reg\ <= \^gen_axilite.s_axi_bvalid_i_reg\; \gen_axilite.s_axi_bvalid_i_reg_0\ <= \^gen_axilite.s_axi_bvalid_i_reg_0\; + \gen_axilite.s_axi_bvalid_i_reg_1\ <= \^gen_axilite.s_axi_bvalid_i_reg_1\; \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ <= \^gen_no_arbiter.m_grant_hot_i_reg[0]_1\; - \gen_no_arbiter.m_valid_i_reg_0\ <= \^gen_no_arbiter.m_valid_i_reg_0\; - \m_atarget_hot_reg[2]\ <= \^m_atarget_hot_reg[2]\; + m_atarget_enc_comb(0) <= \^m_atarget_enc_comb\(0); + \m_atarget_enc_reg[3]\ <= \^m_atarget_enc_reg[3]\; + \m_atarget_hot_reg[1]\ <= \^m_atarget_hot_reg[1]\; + \m_atarget_hot_reg[4]\ <= \^m_atarget_hot_reg[4]\; \m_atarget_hot_reg[7]\ <= \^m_atarget_hot_reg[7]\; - \m_atarget_hot_reg[7]_0\ <= \^m_atarget_hot_reg[7]_0\; - \m_atarget_hot_reg[7]_1\ <= \^m_atarget_hot_reg[7]_1\; \m_ready_d_reg[0]\ <= \^m_ready_d_reg[0]\; + \m_ready_d_reg[0]_1\ <= \^m_ready_d_reg[0]_1\; \m_ready_d_reg[2]\ <= \^m_ready_d_reg[2]\; \m_ready_d_reg[2]_0\ <= \^m_ready_d_reg[2]_0\; + \m_ready_d_reg[2]_1\ <= \^m_ready_d_reg[2]_1\; + \m_ready_d_reg[2]_3\ <= \^m_ready_d_reg[2]_3\; m_valid_i <= \^m_valid_i\; -\gen_axilite.s_axi_awready_i_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"FFDF0020" - ) - port map ( - I0 => \gen_axilite.s_axi_bvalid_i_i_2_n_0\, - I1 => \^gen_axilite.s_axi_bvalid_i_reg_0\, - I2 => \m_atarget_hot_reg[8]\(7), - I3 => mi_bvalid(0), - I4 => mi_wready(0), - O => \gen_axilite.s_axi_awready_i_reg\ - ); \gen_axilite.s_axi_bvalid_i_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"AAFFAAFF0C000000" + INIT => X"5050F0F05C50F0F0" ) port map ( I0 => \^gen_axilite.s_axi_bvalid_i_reg\, - I1 => \gen_axilite.s_axi_bvalid_i_i_2_n_0\, - I2 => \^gen_axilite.s_axi_bvalid_i_reg_0\, - I3 => \m_atarget_hot_reg[8]\(7), - I4 => mi_wready(0), - I5 => mi_bvalid(0), - O => \gen_axilite.s_axi_bvalid_i_reg_1\ + I1 => mi_wready(0), + I2 => mi_bvalid(0), + I3 => \^gen_axilite.s_axi_bvalid_i_reg_0\, + I4 => \m_atarget_hot_reg[8]\(8), + I5 => \^gen_axilite.s_axi_bvalid_i_reg_1\, + O => \gen_axilite.s_axi_bvalid_i_reg_2\ ); \gen_axilite.s_axi_bvalid_i_i_2\: unisim.vcomponents.LUT4 generic map( @@ -258,7 +251,7 @@ begin I1 => \^aa_grant_rnw\, I2 => \^m_valid_i\, I3 => m_ready_d(1), - O => \gen_axilite.s_axi_bvalid_i_i_2_n_0\ + O => \^gen_axilite.s_axi_bvalid_i_reg_0\ ); \gen_axilite.s_axi_bvalid_i_i_3\: unisim.vcomponents.LUT3 generic map( @@ -268,7 +261,7 @@ begin I0 => m_ready_d(2), I1 => \^m_valid_i\, I2 => \^aa_grant_rnw\, - O => \^gen_axilite.s_axi_bvalid_i_reg_0\ + O => \^gen_axilite.s_axi_bvalid_i_reg_1\ ); \gen_axilite.s_axi_rvalid_i_i_2\: unisim.vcomponents.LUT3 generic map( @@ -276,19 +269,19 @@ begin ) port map ( I0 => m_ready_d_0(1), - I1 => \^m_valid_i\, - I2 => \^aa_grant_rnw\, + I1 => \^aa_grant_rnw\, + I2 => \^m_valid_i\, O => \gen_axilite.s_axi_rvalid_i_reg\ ); \gen_no_arbiter.grant_rnw_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFFFFF5300000050" + INIT => X"FFFFFF4700000044" ) port map ( I0 => s_awvalid_reg, - I1 => s_axi_awvalid(0), - I2 => s_axi_arvalid(0), - I3 => aa_grant_any, + I1 => s_axi_arvalid(0), + I2 => s_axi_awvalid(0), + I3 => \^aa_grant_any\, I4 => \^m_valid_i\, I5 => \^aa_grant_rnw\, O => \gen_no_arbiter.grant_rnw_i_1_n_0\ @@ -578,7 +571,7 @@ begin INIT => X"1" ) port map ( - I0 => aa_grant_any, + I0 => \^aa_grant_any\, O => p_0_in1_in ); \gen_no_arbiter.m_amesg_i[32]_i_3\: unisim.vcomponents.LUT4 @@ -984,15 +977,15 @@ begin ); \gen_no_arbiter.m_grant_hot_i[0]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000000088888088" + INIT => X"0808080808000808" ) port map ( I0 => \gen_no_arbiter.m_grant_hot_i[0]_i_2_n_0\, I1 => aresetn_d, I2 => \gen_no_arbiter.m_grant_hot_i[0]_i_3_n_0\, - I3 => \splitter_aw/m_ready_d0\(0), - I4 => \gen_no_arbiter.m_valid_i_i_3_n_0\, - I5 => \gen_no_arbiter.m_grant_hot_i[0]_i_4_n_0\, + I3 => \gen_no_arbiter.m_grant_hot_i[0]_i_4_n_0\, + I4 => \splitter_aw/m_ready_d0\(0), + I5 => \gen_no_arbiter.m_valid_i_i_2_n_0\, O => \gen_no_arbiter.m_grant_hot_i[0]_i_1_n_0\ ); \gen_no_arbiter.m_grant_hot_i[0]_i_2\: unisim.vcomponents.LUT4 @@ -1000,32 +993,32 @@ begin INIT => X"F0FE" ) port map ( - I0 => s_axi_awvalid(0), - I1 => s_axi_arvalid(0), - I2 => aa_grant_any, + I0 => s_axi_arvalid(0), + I1 => s_axi_awvalid(0), + I2 => \^aa_grant_any\, I3 => \^m_valid_i\, O => \gen_no_arbiter.m_grant_hot_i[0]_i_2_n_0\ ); -\gen_no_arbiter.m_grant_hot_i[0]_i_3\: unisim.vcomponents.LUT2 +\gen_no_arbiter.m_grant_hot_i[0]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"B" + INIT => X"00000000FEAE0000" ) port map ( - I0 => \^aa_grant_rnw\, - I1 => \^m_valid_i\, + I0 => m_ready_d_0(1), + I1 => \m_atarget_enc_reg[1]_1\, + I2 => m_atarget_enc(0), + I3 => \gen_no_arbiter.m_grant_hot_i[0]_i_5_n_0\, + I4 => m_ready_d0(0), + I5 => \^gen_no_arbiter.m_grant_hot_i_reg[0]_1\, O => \gen_no_arbiter.m_grant_hot_i[0]_i_3_n_0\ ); -\gen_no_arbiter.m_grant_hot_i[0]_i_4\: unisim.vcomponents.LUT6 +\gen_no_arbiter.m_grant_hot_i[0]_i_4\: unisim.vcomponents.LUT2 generic map( - INIT => X"0000000055544454" + INIT => X"B" ) port map ( - I0 => m_valid_i_reg, - I1 => m_ready_d_0(1), - I2 => \m_atarget_enc_reg[1]_1\, - I3 => m_atarget_enc(0), - I4 => \gen_no_arbiter.m_grant_hot_i[0]_i_5_n_0\, - I5 => \gen_no_arbiter.m_grant_hot_i[0]_i_6_n_0\, + I0 => \^aa_grant_rnw\, + I1 => \^m_valid_i\, O => \gen_no_arbiter.m_grant_hot_i[0]_i_4_n_0\ ); \gen_no_arbiter.m_grant_hot_i[0]_i_5\: unisim.vcomponents.LUT5 @@ -1033,10 +1026,10 @@ begin INIT => X"00002000" ) port map ( - I0 => \^gen_no_arbiter.m_valid_i_reg_0\, + I0 => \^m_ready_d_reg[0]_1\, I1 => m_ready_d_0(1), - I2 => \^m_valid_i\, - I3 => \^aa_grant_rnw\, + I2 => \^aa_grant_rnw\, + I3 => \^m_valid_i\, I4 => m_atarget_enc(3), O => \gen_no_arbiter.m_grant_hot_i[0]_i_5_n_0\ ); @@ -1045,29 +1038,29 @@ begin INIT => X"7" ) port map ( - I0 => \^aa_grant_rnw\, - I1 => \^m_valid_i\, - O => \gen_no_arbiter.m_grant_hot_i[0]_i_6_n_0\ + I0 => \^m_valid_i\, + I1 => \^aa_grant_rnw\, + O => \^gen_no_arbiter.m_grant_hot_i_reg[0]_1\ ); \gen_no_arbiter.m_grant_hot_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \gen_no_arbiter.m_grant_hot_i[0]_i_1_n_0\, - Q => aa_grant_any, + Q => \^aa_grant_any\, R => '0' ); \gen_no_arbiter.m_valid_i_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"3AFA3A0A3AFA3AFA" + INIT => X"0BFBFFFF0BFB0000" ) port map ( - I0 => aa_grant_any, - I1 => \gen_no_arbiter.m_valid_i_i_2_n_0\, - I2 => \^m_valid_i\, - I3 => \^aa_grant_rnw\, - I4 => \gen_no_arbiter.m_valid_i_i_3_n_0\, - I5 => \splitter_aw/m_ready_d0\(0), + I0 => \gen_no_arbiter.m_valid_i_i_2_n_0\, + I1 => \splitter_aw/m_ready_d0\(0), + I2 => \^aa_grant_rnw\, + I3 => \m_atarget_enc_reg[0]_3\, + I4 => \^m_valid_i\, + I5 => \^aa_grant_any\, O => \gen_no_arbiter.m_valid_i_i_1_n_0\ ); \gen_no_arbiter.m_valid_i_i_10\: unisim.vcomponents.LUT4 @@ -1094,79 +1087,66 @@ begin ); \gen_no_arbiter.m_valid_i_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000000FFFF2F20" + INIT => X"444444444FFF4F4F" ) port map ( - I0 => \^gen_no_arbiter.m_valid_i_reg_0\, - I1 => \gen_no_arbiter.m_valid_i_i_5_n_0\, - I2 => m_atarget_enc(0), - I3 => \m_atarget_enc_reg[1]_1\, - I4 => m_ready_d_0(1), - I5 => m_valid_i_reg, + I0 => \m_atarget_enc_reg[3]_0\, + I1 => \gen_no_arbiter.m_valid_i_i_6_n_0\, + I2 => \^gen_axilite.s_axi_bvalid_i_reg_0\, + I3 => \m_ready_d[2]_i_6_n_0\, + I4 => \gen_no_arbiter.m_valid_i_i_7_n_0\, + I5 => m_ready_d(1), O => \gen_no_arbiter.m_valid_i_i_2_n_0\ ); \gen_no_arbiter.m_valid_i_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0075FFFF00750075" - ) - port map ( - I0 => \gen_axilite.s_axi_bvalid_i_i_2_n_0\, - I1 => \gen_axilite.s_axi_awready_i_reg_0\, - I2 => \gen_no_arbiter.m_valid_i_i_6_n_0\, - I3 => m_ready_d(1), - I4 => \m_atarget_enc_reg[3]_0\, - I5 => \gen_no_arbiter.m_valid_i_i_8_n_0\, - O => \gen_no_arbiter.m_valid_i_i_3_n_0\ - ); -\gen_no_arbiter.m_valid_i_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"AAAAAAAAEAAAEAFF" + INIT => X"EAAAEAFFAAAAAAAA" ) port map ( I0 => m_ready_d(0), - I1 => \m_atarget_enc_reg[1]\, - I2 => \s_axi_bvalid[0]_INST_0_i_3_n_0\, + I1 => \s_axi_bvalid[0]_INST_0_i_3_n_0\, + I2 => \m_atarget_enc_reg[1]\, I3 => m_atarget_enc(0), I4 => \m_atarget_enc_reg[1]_0\, I5 => \^gen_axilite.s_axi_bvalid_i_reg\, O => \splitter_aw/m_ready_d0\(0) ); -\gen_no_arbiter.m_valid_i_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"FFBF" - ) - port map ( - I0 => m_atarget_enc(3), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, - I3 => m_ready_d_0(1), - O => \gen_no_arbiter.m_valid_i_i_5_n_0\ - ); \gen_no_arbiter.m_valid_i_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFDFFFFFFFFFF" ) port map ( - I0 => \s_axi_wready[0]_INST_0_i_2_n_0\, + I0 => \^m_ready_d_reg[0]\, I1 => m_atarget_enc(3), I2 => \^aa_grant_rnw\, I3 => \^m_valid_i\, - I4 => m_ready_d(1), + I4 => m_ready_d(2), I5 => m_atarget_enc(0), O => \gen_no_arbiter.m_valid_i_i_6_n_0\ ); -\gen_no_arbiter.m_valid_i_i_8\: unisim.vcomponents.LUT6 +\gen_no_arbiter.m_valid_i_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFDFFFFFFFFFF" ) port map ( - I0 => \^m_ready_d_reg[0]\, + I0 => \^m_ready_d_reg[2]\, I1 => m_atarget_enc(3), I2 => \^aa_grant_rnw\, I3 => \^m_valid_i\, - I4 => m_ready_d(2), + I4 => m_ready_d(1), I5 => m_atarget_enc(0), - O => \gen_no_arbiter.m_valid_i_i_8_n_0\ + O => \gen_no_arbiter.m_valid_i_i_7_n_0\ + ); +\gen_no_arbiter.m_valid_i_i_9\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0040" + ) + port map ( + I0 => m_atarget_enc(3), + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, + I3 => m_ready_d_0(1), + O => \gen_no_arbiter.m_valid_i_reg_0\ ); \gen_no_arbiter.m_valid_i_reg\: unisim.vcomponents.FDRE port map ( @@ -1182,7 +1162,7 @@ begin ) port map ( I0 => \^m_valid_i\, - I1 => aa_grant_any, + I1 => \^aa_grant_any\, I2 => aresetn_d, O => \gen_no_arbiter.s_ready_i[0]_i_1_n_0\ ); @@ -1194,260 +1174,283 @@ begin Q => s_ready_i, R => '0' ); -\m_atarget_enc[0]_i_2\: unisim.vcomponents.LUT6 +\m_atarget_enc[0]_i_2\: unisim.vcomponents.LUT4 generic map( - INIT => X"0012001201130012" + INIT => X"10FF" ) port map ( - I0 => \^q\(16), - I1 => \m_atarget_enc[0]_i_3_n_0\, - I2 => \^q\(18), - I3 => \m_atarget_hot[1]_i_2_n_0\, - I4 => \m_atarget_hot[6]_i_4_n_0\, - I5 => \m_atarget_enc[0]_i_4_n_0\, + I0 => \^m_atarget_enc_reg[3]\, + I1 => \^m_atarget_hot_reg[4]\, + I2 => \^m_atarget_hot_reg[1]\, + I3 => aresetn_d, O => \m_atarget_enc_reg[0]\ ); -\m_atarget_enc[0]_i_3\: unisim.vcomponents.LUT2 +\m_atarget_enc[2]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"E" + INIT => X"FFFFFFFF20020000" ) port map ( - I0 => \^q\(19), - I1 => \^q\(17), - O => \m_atarget_enc[0]_i_3_n_0\ + I0 => \m_atarget_hot[0]_i_2_n_0\, + I1 => \m_atarget_enc[2]_i_3_n_0\, + I2 => \^q\(25), + I3 => \^q\(23), + I4 => \^q\(22), + I5 => \^m_atarget_hot_reg[4]\, + O => f_hot2enc_return0 ); -\m_atarget_enc[0]_i_4\: unisim.vcomponents.LUT6 +\m_atarget_enc[2]_i_3\: unisim.vcomponents.LUT3 generic map( - INIT => X"FEFFFFFFFFFFFFFF" + INIT => X"FE" ) port map ( - I0 => \^q\(20), - I1 => \^q\(21), - I2 => \^q\(24), - I3 => \^q\(23), - I4 => \^q\(22), - I5 => \^q\(25), - O => \m_atarget_enc[0]_i_4_n_0\ + I0 => \^q\(24), + I1 => \^q\(20), + I2 => \^q\(21), + O => \m_atarget_enc[2]_i_3_n_0\ ); \m_atarget_enc[3]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"5554555455445554" + INIT => X"00000000FFFFFEFA" ) port map ( - I0 => \^m_atarget_hot_reg[7]_1\, - I1 => \m_atarget_hot[1]_i_2_n_0\, - I2 => \^q\(17), - I3 => \^q\(19), - I4 => \^q\(16), - I5 => \^q\(18), - O => \m_atarget_enc_reg[3]\(0) + I0 => \m_atarget_enc[3]_i_2_n_0\, + I1 => \^q\(17), + I2 => \^q\(19), + I3 => \^q\(18), + I4 => \m_atarget_hot[0]_i_3_n_0\, + I5 => \^m_atarget_enc_reg[3]\, + O => \^m_atarget_enc_comb\(0) ); -\m_atarget_hot[0]_i_1\: unisim.vcomponents.LUT6 +\m_atarget_enc[3]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000000100000000" + INIT => X"FFFFFFFEFFFFFFFF" ) port map ( - I0 => \m_atarget_hot[1]_i_2_n_0\, - I1 => \^q\(17), - I2 => \^q\(19), - I3 => \^q\(16), - I4 => \^q\(18), - I5 => aa_grant_any, - O => D(0) + I0 => \^q\(31), + I1 => \^q\(27), + I2 => \^q\(29), + I3 => \^q\(28), + I4 => \^q\(26), + I5 => \^q\(30), + O => \m_atarget_enc[3]_i_2_n_0\ ); -\m_atarget_hot[1]_i_1\: unisim.vcomponents.LUT6 +\m_atarget_enc[3]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000001000000000" + INIT => X"0000000000000007" ) port map ( - I0 => \m_atarget_hot[1]_i_2_n_0\, - I1 => \^q\(18), - I2 => \^q\(16), - I3 => \^q\(19), - I4 => \^q\(17), - I5 => aa_grant_any, - O => D(1) + I0 => \m_atarget_hot[6]_i_3_n_0\, + I1 => \m_atarget_hot[7]_i_3_n_0\, + I2 => \m_atarget_enc[3]_i_2_n_0\, + I3 => \^q\(17), + I4 => \m_atarget_enc[3]_i_4_n_0\, + I5 => \^q\(16), + O => \^m_atarget_enc_reg[3]\ ); -\m_atarget_hot[1]_i_2\: unisim.vcomponents.LUT5 +\m_atarget_enc[3]_i_4\: unisim.vcomponents.LUT2 generic map( - INIT => X"FFEFFFFF" + INIT => X"E" ) port map ( - I0 => \^q\(21), - I1 => \^q\(20), - I2 => \^q\(24), - I3 => \m_atarget_hot[7]_i_3_n_0\, - I4 => \m_atarget_hot[6]_i_4_n_0\, - O => \m_atarget_hot[1]_i_2_n_0\ + I0 => \^q\(18), + I1 => \^q\(19), + O => \m_atarget_enc[3]_i_4_n_0\ ); -\m_atarget_hot[2]_i_1\: unisim.vcomponents.LUT2 +\m_atarget_hot[0]_i_1\: unisim.vcomponents.LUT3 generic map( - INIT => X"8" + INIT => X"08" ) port map ( - I0 => aa_grant_any, - I1 => \^m_atarget_hot_reg[2]\, - O => D(2) + I0 => \m_atarget_hot[0]_i_2_n_0\, + I1 => \^aa_grant_any\, + I2 => \m_atarget_hot[0]_i_3_n_0\, + O => D(0) ); -\m_atarget_hot[2]_i_2\: unisim.vcomponents.LUT5 +\m_atarget_hot[0]_i_2\: unisim.vcomponents.LUT5 generic map( - INIT => X"00100000" + INIT => X"00000001" ) port map ( - I0 => \m_atarget_hot[1]_i_2_n_0\, - I1 => \^q\(18), - I2 => \^q\(16), + I0 => \m_atarget_enc[3]_i_2_n_0\, + I1 => \^q\(17), + I2 => \^q\(18), I3 => \^q\(19), - I4 => \^q\(17), - O => \^m_atarget_hot_reg[2]\ + I4 => \^q\(16), + O => \m_atarget_hot[0]_i_2_n_0\ ); -\m_atarget_hot[4]_i_1\: unisim.vcomponents.LUT3 +\m_atarget_hot[0]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"08" + INIT => X"FFFFFFFFFFFF7FFF" ) port map ( - I0 => aa_grant_any, - I1 => \^q\(16), - I2 => \^m_atarget_hot_reg[7]_0\, - O => D(3) + I0 => \^q\(22), + I1 => \^q\(23), + I2 => \^q\(25), + I3 => \^q\(24), + I4 => \^q\(21), + I5 => \^q\(20), + O => \m_atarget_hot[0]_i_3_n_0\ ); -\m_atarget_hot[5]_i_1\: unisim.vcomponents.LUT3 +\m_atarget_hot[1]_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"10" + INIT => X"0400" ) port map ( - I0 => \^m_atarget_hot_reg[7]_0\, + I0 => \^q\(17), I1 => \^q\(16), - I2 => aa_grant_any, - O => D(4) + I2 => \^m_atarget_hot_reg[1]\, + I3 => \^aa_grant_any\, + O => D(1) ); -\m_atarget_hot[6]_i_1\: unisim.vcomponents.LUT6 +\m_atarget_hot[2]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"0004000000000000" + INIT => X"00200000" ) port map ( - I0 => \^q\(23), - I1 => \^q\(22), - I2 => \^q\(25), - I3 => \m_atarget_hot[6]_i_2_n_0\, - I4 => \m_atarget_hot[6]_i_3_n_0\, - I5 => aa_grant_any, - O => D(5) + I0 => \^q\(17), + I1 => \^m_atarget_hot_reg[1]\, + I2 => \^q\(16), + I3 => \^m_atarget_enc_comb\(0), + I4 => \^aa_grant_any\, + O => D(2) ); -\m_atarget_hot[6]_i_2\: unisim.vcomponents.LUT3 +\m_atarget_hot[3]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"FE" + INIT => X"00000200" ) port map ( - I0 => \^q\(24), - I1 => \^q\(21), - I2 => \^q\(20), - O => \m_atarget_hot[6]_i_2_n_0\ + I0 => \^q\(17), + I1 => \^m_atarget_hot_reg[1]\, + I2 => \^q\(16), + I3 => \^aa_grant_any\, + I4 => \^m_atarget_enc_comb\(0), + O => D(3) ); -\m_atarget_hot[6]_i_3\: unisim.vcomponents.LUT5 +\m_atarget_hot[3]_i_2\: unisim.vcomponents.LUT4 generic map( - INIT => X"00000002" + INIT => X"FFFE" ) port map ( - I0 => \m_atarget_hot[6]_i_4_n_0\, - I1 => \^q\(18), - I2 => \^q\(16), + I0 => \m_atarget_hot[0]_i_3_n_0\, + I1 => \m_atarget_enc[3]_i_2_n_0\, + I2 => \^q\(18), I3 => \^q\(19), - I4 => \^q\(17), - O => \m_atarget_hot[6]_i_3_n_0\ + O => \^m_atarget_hot_reg[1]\ ); -\m_atarget_hot[6]_i_4\: unisim.vcomponents.LUT6 +\m_atarget_hot[4]_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"0000000100000000" + INIT => X"0800" ) port map ( - I0 => \^q\(31), - I1 => \^q\(28), - I2 => \^q\(26), - I3 => \^q\(29), - I4 => \^q\(27), - I5 => \^q\(30), - O => \m_atarget_hot[6]_i_4_n_0\ + I0 => \^m_atarget_hot_reg[4]\, + I1 => \^q\(16), + I2 => \^m_atarget_enc_comb\(0), + I3 => \^aa_grant_any\, + O => D(4) ); -\m_atarget_hot[7]_i_1\: unisim.vcomponents.LUT5 +\m_atarget_hot[5]_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"88888088" + INIT => X"0040" ) port map ( - I0 => \m_atarget_hot[7]_i_2_n_0\, - I1 => aa_grant_any, - I2 => \^m_atarget_hot_reg[7]_1\, - I3 => \^m_atarget_hot_reg[7]_0\, - I4 => \^m_atarget_hot_reg[7]\, + I0 => \^q\(16), + I1 => \^m_atarget_hot_reg[4]\, + I2 => \^aa_grant_any\, + I3 => \^m_atarget_enc_comb\(0), + O => D(5) + ); +\m_atarget_hot[5]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00000100" + ) + port map ( + I0 => \m_atarget_enc[3]_i_2_n_0\, + I1 => \^q\(17), + I2 => \^q\(19), + I3 => \^q\(18), + I4 => \m_atarget_hot[0]_i_3_n_0\, + O => \^m_atarget_hot_reg[4]\ + ); +\m_atarget_hot[6]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"08" + ) + port map ( + I0 => \m_atarget_hot[6]_i_2_n_0\, + I1 => \^aa_grant_any\, + I2 => \^m_atarget_enc_comb\(0), O => D(6) ); -\m_atarget_hot[7]_i_2\: unisim.vcomponents.LUT5 +\m_atarget_hot[6]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000002" + INIT => X"0000000000000001" ) port map ( - I0 => \m_atarget_hot[6]_i_3_n_0\, - I1 => \m_atarget_hot[7]_i_3_n_0\, - I2 => \^q\(24), - I3 => \^q\(21), - I4 => \^q\(20), - O => \m_atarget_hot[7]_i_2_n_0\ + I0 => \^q\(16), + I1 => \^q\(19), + I2 => \^q\(18), + I3 => \^q\(17), + I4 => \m_atarget_enc[3]_i_2_n_0\, + I5 => \m_atarget_hot[6]_i_3_n_0\, + O => \m_atarget_hot[6]_i_2_n_0\ ); -\m_atarget_hot[7]_i_3\: unisim.vcomponents.LUT3 +\m_atarget_hot[6]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"7F" + INIT => X"FFFFFFFEFFFFFFFF" ) port map ( - I0 => \^q\(25), - I1 => \^q\(22), - I2 => \^q\(23), - O => \m_atarget_hot[7]_i_3_n_0\ + I0 => \^q\(21), + I1 => \^q\(20), + I2 => \^q\(24), + I3 => \^q\(23), + I4 => \^q\(25), + I5 => \^q\(22), + O => \m_atarget_hot[6]_i_3_n_0\ ); -\m_atarget_hot[8]_i_1\: unisim.vcomponents.LUT4 +\m_atarget_hot[7]_i_1\: unisim.vcomponents.LUT3 generic map( - INIT => X"0400" + INIT => X"08" ) port map ( I0 => \^m_atarget_hot_reg[7]\, - I1 => \^m_atarget_hot_reg[7]_0\, - I2 => \^m_atarget_hot_reg[7]_1\, - I3 => aa_grant_any, + I1 => \^aa_grant_any\, + I2 => \^m_atarget_enc_comb\(0), O => D(7) ); -\m_atarget_hot[8]_i_2\: unisim.vcomponents.LUT5 +\m_atarget_hot[7]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000405" + INIT => X"0000000000000001" ) port map ( - I0 => \^q\(18), - I1 => \^q\(16), - I2 => \^q\(19), + I0 => \^q\(16), + I1 => \^q\(19), + I2 => \^q\(18), I3 => \^q\(17), - I4 => \m_atarget_hot[1]_i_2_n_0\, + I4 => \m_atarget_enc[3]_i_2_n_0\, + I5 => \m_atarget_hot[7]_i_3_n_0\, O => \^m_atarget_hot_reg[7]\ ); -\m_atarget_hot[8]_i_3\: unisim.vcomponents.LUT4 +\m_atarget_hot[7]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFFB" + INIT => X"FFFFFFFFFFFFFF7F" ) port map ( - I0 => \m_atarget_hot[1]_i_2_n_0\, - I1 => \^q\(18), - I2 => \^q\(19), - I3 => \^q\(17), - O => \^m_atarget_hot_reg[7]_0\ + I0 => \^q\(22), + I1 => \^q\(23), + I2 => \^q\(25), + I3 => \^q\(21), + I4 => \^q\(20), + I5 => \^q\(24), + O => \m_atarget_hot[7]_i_3_n_0\ ); -\m_atarget_hot[8]_i_4\: unisim.vcomponents.LUT5 +\m_atarget_hot[8]_i_1\: unisim.vcomponents.LUT2 generic map( - INIT => X"20000200" + INIT => X"8" ) port map ( - I0 => \m_atarget_hot[6]_i_3_n_0\, - I1 => \m_atarget_hot[6]_i_2_n_0\, - I2 => \^q\(25), - I3 => \^q\(22), - I4 => \^q\(23), - O => \^m_atarget_hot_reg[7]_1\ + I0 => \^m_atarget_enc_comb\(0), + I1 => \^aa_grant_any\, + O => D(8) ); \m_axi_arvalid[0]_INST_0\: unisim.vcomponents.LUT4 generic map( @@ -1455,8 +1458,8 @@ begin ) port map ( I0 => \m_atarget_hot_reg[8]\(0), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, I3 => m_ready_d_0(1), O => m_axi_arvalid(0) ); @@ -1466,8 +1469,8 @@ begin ) port map ( I0 => \m_atarget_hot_reg[8]\(1), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, I3 => m_ready_d_0(1), O => m_axi_arvalid(1) ); @@ -1477,55 +1480,66 @@ begin ) port map ( I0 => \m_atarget_hot_reg[8]\(2), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, I3 => m_ready_d_0(1), O => m_axi_arvalid(2) ); -\m_axi_arvalid[4]_INST_0\: unisim.vcomponents.LUT4 +\m_axi_arvalid[3]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \m_atarget_hot_reg[8]\(3), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, I3 => m_ready_d_0(1), O => m_axi_arvalid(3) ); -\m_axi_arvalid[5]_INST_0\: unisim.vcomponents.LUT4 +\m_axi_arvalid[4]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \m_atarget_hot_reg[8]\(4), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, I3 => m_ready_d_0(1), O => m_axi_arvalid(4) ); -\m_axi_arvalid[6]_INST_0\: unisim.vcomponents.LUT4 +\m_axi_arvalid[5]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \m_atarget_hot_reg[8]\(5), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, I3 => m_ready_d_0(1), O => m_axi_arvalid(5) ); -\m_axi_arvalid[7]_INST_0\: unisim.vcomponents.LUT4 +\m_axi_arvalid[6]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \m_atarget_hot_reg[8]\(6), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, I3 => m_ready_d_0(1), O => m_axi_arvalid(6) ); +\m_axi_arvalid[7]_INST_0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0080" + ) + port map ( + I0 => \m_atarget_hot_reg[8]\(7), + I1 => \^m_valid_i\, + I2 => \^aa_grant_rnw\, + I3 => m_ready_d_0(1), + O => m_axi_arvalid(7) + ); \m_axi_awvalid[0]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" @@ -1559,7 +1573,7 @@ begin I3 => m_ready_d(2), O => m_axi_awvalid(2) ); -\m_axi_awvalid[4]_INST_0\: unisim.vcomponents.LUT4 +\m_axi_awvalid[3]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) @@ -1570,7 +1584,7 @@ begin I3 => m_ready_d(2), O => m_axi_awvalid(3) ); -\m_axi_awvalid[5]_INST_0\: unisim.vcomponents.LUT4 +\m_axi_awvalid[4]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) @@ -1581,7 +1595,7 @@ begin I3 => m_ready_d(2), O => m_axi_awvalid(4) ); -\m_axi_awvalid[6]_INST_0\: unisim.vcomponents.LUT4 +\m_axi_awvalid[5]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) @@ -1592,7 +1606,7 @@ begin I3 => m_ready_d(2), O => m_axi_awvalid(5) ); -\m_axi_awvalid[7]_INST_0\: unisim.vcomponents.LUT4 +\m_axi_awvalid[6]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) @@ -1603,90 +1617,113 @@ begin I3 => m_ready_d(2), O => m_axi_awvalid(6) ); +\m_axi_awvalid[7]_INST_0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0020" + ) + port map ( + I0 => \m_atarget_hot_reg[8]\(7), + I1 => \^aa_grant_rnw\, + I2 => \^m_valid_i\, + I3 => m_ready_d(2), + O => m_axi_awvalid(7) + ); \m_axi_bready[0]_INST_0\: unisim.vcomponents.LUT5 generic map( - INIT => X"00000800" + INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[8]\(0), - I1 => s_axi_bready(0), - I2 => m_ready_d(0), - I3 => \^m_valid_i\, - I4 => \^aa_grant_rnw\, + I1 => m_ready_d(0), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_bready(0), O => m_axi_bready(0) ); \m_axi_bready[1]_INST_0\: unisim.vcomponents.LUT5 generic map( - INIT => X"00000800" + INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[8]\(1), - I1 => s_axi_bready(0), - I2 => m_ready_d(0), - I3 => \^m_valid_i\, - I4 => \^aa_grant_rnw\, + I1 => m_ready_d(0), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_bready(0), O => m_axi_bready(1) ); \m_axi_bready[2]_INST_0\: unisim.vcomponents.LUT5 generic map( - INIT => X"00000800" + INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[8]\(2), - I1 => s_axi_bready(0), - I2 => m_ready_d(0), - I3 => \^m_valid_i\, - I4 => \^aa_grant_rnw\, + I1 => m_ready_d(0), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_bready(0), O => m_axi_bready(2) ); -\m_axi_bready[4]_INST_0\: unisim.vcomponents.LUT5 +\m_axi_bready[3]_INST_0\: unisim.vcomponents.LUT5 generic map( - INIT => X"00000800" + INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[8]\(3), - I1 => s_axi_bready(0), - I2 => m_ready_d(0), - I3 => \^m_valid_i\, - I4 => \^aa_grant_rnw\, + I1 => m_ready_d(0), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_bready(0), O => m_axi_bready(3) ); -\m_axi_bready[5]_INST_0\: unisim.vcomponents.LUT5 +\m_axi_bready[4]_INST_0\: unisim.vcomponents.LUT5 generic map( - INIT => X"00000800" + INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[8]\(4), - I1 => s_axi_bready(0), - I2 => m_ready_d(0), - I3 => \^m_valid_i\, - I4 => \^aa_grant_rnw\, + I1 => m_ready_d(0), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_bready(0), O => m_axi_bready(4) ); -\m_axi_bready[6]_INST_0\: unisim.vcomponents.LUT5 +\m_axi_bready[5]_INST_0\: unisim.vcomponents.LUT5 generic map( - INIT => X"00000800" + INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[8]\(5), - I1 => s_axi_bready(0), - I2 => m_ready_d(0), - I3 => \^m_valid_i\, - I4 => \^aa_grant_rnw\, + I1 => m_ready_d(0), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_bready(0), O => m_axi_bready(5) ); -\m_axi_bready[7]_INST_0\: unisim.vcomponents.LUT5 +\m_axi_bready[6]_INST_0\: unisim.vcomponents.LUT5 generic map( - INIT => X"00000800" + INIT => X"00200000" ) port map ( I0 => \m_atarget_hot_reg[8]\(6), - I1 => s_axi_bready(0), - I2 => m_ready_d(0), - I3 => \^m_valid_i\, - I4 => \^aa_grant_rnw\, + I1 => m_ready_d(0), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_bready(0), O => m_axi_bready(6) ); +\m_axi_bready[7]_INST_0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00200000" + ) + port map ( + I0 => \m_atarget_hot_reg[8]\(7), + I1 => m_ready_d(0), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_bready(0), + O => m_axi_bready(7) + ); \m_axi_wvalid[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00200000" @@ -1723,7 +1760,7 @@ begin I4 => s_axi_wvalid(0), O => m_axi_wvalid(2) ); -\m_axi_wvalid[4]_INST_0\: unisim.vcomponents.LUT5 +\m_axi_wvalid[3]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00200000" ) @@ -1735,7 +1772,7 @@ begin I4 => s_axi_wvalid(0), O => m_axi_wvalid(3) ); -\m_axi_wvalid[5]_INST_0\: unisim.vcomponents.LUT5 +\m_axi_wvalid[4]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00200000" ) @@ -1747,7 +1784,7 @@ begin I4 => s_axi_wvalid(0), O => m_axi_wvalid(4) ); -\m_axi_wvalid[6]_INST_0\: unisim.vcomponents.LUT5 +\m_axi_wvalid[5]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00200000" ) @@ -1759,7 +1796,7 @@ begin I4 => s_axi_wvalid(0), O => m_axi_wvalid(5) ); -\m_axi_wvalid[7]_INST_0\: unisim.vcomponents.LUT5 +\m_axi_wvalid[6]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"00200000" ) @@ -1771,15 +1808,27 @@ begin I4 => s_axi_wvalid(0), O => m_axi_wvalid(6) ); +\m_axi_wvalid[7]_INST_0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00200000" + ) + port map ( + I0 => \m_atarget_hot_reg[8]\(7), + I1 => m_ready_d(1), + I2 => \^m_valid_i\, + I3 => \^aa_grant_rnw\, + I4 => s_axi_wvalid(0), + O => m_axi_wvalid(7) + ); \m_payload_i[34]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"4000FFFF" + INIT => X"0080FFFF" ) port map ( - I0 => m_ready_d_0(0), + I0 => s_axi_rready(0), I1 => \^m_valid_i\, I2 => \^aa_grant_rnw\, - I3 => s_axi_rready(0), + I3 => m_ready_d_0(0), I4 => sr_rvalid, O => E(0) ); @@ -1794,17 +1843,17 @@ begin I3 => m_axi_arready(5), I4 => m_atarget_enc(2), I5 => m_axi_arready(1), - O => \^gen_no_arbiter.m_valid_i_reg_0\ + O => \^m_ready_d_reg[0]_1\ ); \m_ready_d[1]_i_6\: unisim.vcomponents.LUT3 generic map( - INIT => X"B8" + INIT => X"35" ) port map ( - I0 => m_axi_arready(6), - I1 => m_atarget_enc(2), - I2 => m_axi_arready(2), - O => \m_ready_d_reg[0]_2\ + I0 => m_axi_arready(2), + I1 => m_axi_arready(6), + I2 => m_atarget_enc(2), + O => \m_ready_d_reg[0]_3\ ); \m_ready_d[1]_i_7\: unisim.vcomponents.LUT4 generic map( @@ -1815,112 +1864,103 @@ begin I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_axi_arready(0), - O => \m_ready_d_reg[0]_1\ + O => \m_ready_d_reg[0]_4\ + ); +\m_ready_d[2]_i_10\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFEF" + ) + port map ( + I0 => m_atarget_enc(3), + I1 => \^aa_grant_rnw\, + I2 => \^m_valid_i\, + I3 => m_ready_d(2), + O => \m_ready_d_reg[0]_0\ ); \m_ready_d[2]_i_2\: unisim.vcomponents.LUT4 generic map( - INIT => X"FBFF" + INIT => X"0020" ) port map ( - I0 => \^aa_grant_rnw\, - I1 => \^m_valid_i\, - I2 => m_ready_d(0), - I3 => s_axi_bready(0), + I0 => s_axi_bready(0), + I1 => \^aa_grant_rnw\, + I2 => \^m_valid_i\, + I3 => m_ready_d(0), O => \^gen_axilite.s_axi_bvalid_i_reg\ ); -\m_ready_d[2]_i_4\: unisim.vcomponents.LUT6 +\m_ready_d[2]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000155555555555" ) port map ( I0 => m_ready_d(1), I1 => m_atarget_enc(0), - I2 => \m_ready_d[2]_i_9_n_0\, - I3 => \s_axi_wready[0]_INST_0_i_2_n_0\, - I4 => \gen_axilite.s_axi_awready_i_reg_0\, - I5 => \gen_axilite.s_axi_bvalid_i_i_2_n_0\, - O => \m_ready_d_reg[2]_1\ - ); -\m_ready_d[2]_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"AFA0CFCFAFA0C0C0" - ) - port map ( - I0 => m_axi_awready(7), - I1 => m_axi_awready(3), - I2 => m_atarget_enc(1), - I3 => m_axi_awready(5), - I4 => m_atarget_enc(2), - I5 => m_axi_awready(1), - O => \^m_ready_d_reg[0]\ - ); -\m_ready_d[2]_i_7\: unisim.vcomponents.LUT5 - generic map( - INIT => X"0000E200" - ) - port map ( - I0 => m_axi_awready(2), - I1 => m_atarget_enc(2), - I2 => m_axi_awready(6), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - O => \m_ready_d_reg[0]_3\ + I2 => \m_ready_d[2]_i_5_n_0\, + I3 => \^m_ready_d_reg[2]\, + I4 => \m_ready_d[2]_i_6_n_0\, + I5 => \^gen_axilite.s_axi_bvalid_i_reg_0\, + O => \m_ready_d_reg[2]_2\ ); -\m_ready_d[2]_i_8\: unisim.vcomponents.LUT4 +\m_ready_d[2]_i_5\: unisim.vcomponents.LUT4 generic map( - INIT => X"FFEF" + INIT => X"0010" ) port map ( I0 => m_atarget_enc(3), I1 => \^aa_grant_rnw\, I2 => \^m_valid_i\, - I3 => m_ready_d(2), - O => \m_ready_d_reg[0]_0\ + I3 => m_ready_d(1), + O => \m_ready_d[2]_i_5_n_0\ ); -\m_ready_d[2]_i_9\: unisim.vcomponents.LUT4 +\m_ready_d[2]_i_6\: unisim.vcomponents.LUT6 generic map( - INIT => X"0010" + INIT => X"0101330301010101" ) port map ( - I0 => m_atarget_enc(3), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, - I3 => m_ready_d(1), - O => \m_ready_d[2]_i_9_n_0\ + I0 => \m_atarget_enc_reg[0]_1\, + I1 => \^m_ready_d_reg[2]_3\, + I2 => m_atarget_enc(3), + I3 => mi_wready(0), + I4 => \m_atarget_enc_reg[0]_2\, + I5 => \m_atarget_enc_reg[2]_0\, + O => \m_ready_d[2]_i_6_n_0\ ); -m_valid_i_i_2: unisim.vcomponents.LUT6 +\m_ready_d[2]_i_7\: unisim.vcomponents.LUT6 generic map( - INIT => X"80B0B3B380B08080" + INIT => X"AFA0CFCFAFA0C0C0" ) port map ( - I0 => \m_atarget_enc_reg[1]_2\, - I1 => m_atarget_enc(0), - I2 => m_valid_i_i_4_n_0, - I3 => \m_atarget_enc_reg[2]_1\, - I4 => m_atarget_enc(1), - I5 => \m_atarget_enc_reg[2]_2\, - O => s_ready_i_reg + I0 => m_axi_awready(7), + I1 => m_axi_awready(3), + I2 => m_atarget_enc(1), + I3 => m_axi_awready(5), + I4 => m_atarget_enc(2), + I5 => m_axi_awready(1), + O => \^m_ready_d_reg[0]\ ); -m_valid_i_i_4: unisim.vcomponents.LUT4 +\m_ready_d[2]_i_9\: unisim.vcomponents.LUT5 generic map( - INIT => X"0040" + INIT => X"44400040" ) port map ( - I0 => m_atarget_enc(3), - I1 => \^aa_grant_rnw\, - I2 => \^m_valid_i\, - I3 => m_ready_d_0(0), - O => m_valid_i_i_4_n_0 + I0 => m_atarget_enc(0), + I1 => m_atarget_enc(1), + I2 => m_axi_awready(2), + I3 => m_atarget_enc(2), + I4 => m_axi_awready(6), + O => \m_ready_d_reg[0]_2\ ); -m_valid_i_i_7: unisim.vcomponents.LUT3 +m_valid_i_i_3: unisim.vcomponents.LUT5 generic map( - INIT => X"BF" + INIT => X"8AAAAAAA" ) port map ( - I0 => m_ready_d_0(0), - I1 => \^m_valid_i\, + I0 => sr_rvalid, + I1 => m_ready_d_0(0), I2 => \^aa_grant_rnw\, - O => s_ready_i_reg_0 + I3 => \^m_valid_i\, + I4 => s_axi_rready(0), + O => m_valid_i_reg ); \s_arvalid_reg[0]_i_1\: unisim.vcomponents.LUT4 generic map( @@ -1967,8 +2007,8 @@ m_valid_i_i_7: unisim.vcomponents.LUT3 INIT => X"8" ) port map ( - I0 => s_ready_i, - I1 => \^aa_grant_rnw\, + I0 => \^aa_grant_rnw\, + I1 => s_ready_i, O => s_axi_arready(0) ); \s_axi_awready[0]_INST_0\: unisim.vcomponents.LUT2 @@ -1985,8 +2025,8 @@ m_valid_i_i_7: unisim.vcomponents.LUT3 INIT => X"2" ) port map ( - I0 => aa_grant_any, - I1 => \^m_ready_d_reg[2]\, + I0 => \^aa_grant_any\, + I1 => \^m_ready_d_reg[2]_0\, O => s_axi_bvalid(0) ); \s_axi_bvalid[0]_INST_0_i_1\: unisim.vcomponents.LUT6 @@ -1997,10 +2037,10 @@ m_valid_i_i_7: unisim.vcomponents.LUT3 I0 => \m_atarget_enc_reg[1]\, I1 => m_atarget_enc(0), I2 => \s_axi_bvalid[0]_INST_0_i_3_n_0\, - I3 => \^m_ready_d_reg[2]_0\, + I3 => \^m_ready_d_reg[2]_1\, I4 => m_atarget_enc(1), I5 => \m_atarget_enc_reg[2]\, - O => \^m_ready_d_reg[2]\ + O => \^m_ready_d_reg[2]_0\ ); \s_axi_bvalid[0]_INST_0_i_3\: unisim.vcomponents.LUT4 generic map( @@ -2021,7 +2061,7 @@ m_valid_i_i_7: unisim.vcomponents.LUT3 I0 => m_axi_bvalid(3), I1 => m_atarget_enc(2), I2 => m_axi_bvalid(1), - O => \^m_ready_d_reg[2]_0\ + O => \^m_ready_d_reg[2]_1\ ); \s_axi_bvalid[0]_INST_0_i_6\: unisim.vcomponents.LUT3 generic map( @@ -2033,37 +2073,15 @@ m_valid_i_i_7: unisim.vcomponents.LUT3 I2 => \^aa_grant_rnw\, O => \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ ); -\s_axi_rvalid[0]_INST_0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"8" - ) - port map ( - I0 => aa_grant_any, - I1 => sr_rvalid, - O => s_axi_rvalid(0) - ); \s_axi_wready[0]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( - I0 => aa_grant_any, - I1 => \s_axi_wready[0]_INST_0_i_1_n_0\, + I0 => \^aa_grant_any\, + I1 => \m_atarget_enc_reg[0]_0\, O => s_axi_wready(0) ); -\s_axi_wready[0]_INST_0_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"00000000FFF7FFF0" - ) - port map ( - I0 => m_atarget_enc(0), - I1 => \s_axi_wready[0]_INST_0_i_2_n_0\, - I2 => \^gen_no_arbiter.m_grant_hot_i_reg[0]_1\, - I3 => m_atarget_enc(3), - I4 => \m_atarget_enc_reg[2]_0\, - I5 => \m_atarget_enc_reg[3]_1\, - O => \s_axi_wready[0]_INST_0_i_1_n_0\ - ); \s_axi_wready[0]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" @@ -2075,9 +2093,9 @@ m_valid_i_i_7: unisim.vcomponents.LUT3 I3 => m_axi_wready(2), I4 => m_atarget_enc(2), I5 => m_axi_wready(0), - O => \s_axi_wready[0]_INST_0_i_2_n_0\ + O => \^m_ready_d_reg[2]\ ); -\s_axi_wready[0]_INST_0_i_3\: unisim.vcomponents.LUT3 +\s_axi_wready[0]_INST_0_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"FB" ) @@ -2085,7 +2103,7 @@ m_valid_i_i_7: unisim.vcomponents.LUT3 I0 => m_ready_d(1), I1 => \^m_valid_i\, I2 => \^aa_grant_rnw\, - O => \^gen_no_arbiter.m_grant_hot_i_reg[0]_1\ + O => \^m_ready_d_reg[2]_3\ ); end STRUCTURE; library IEEE; @@ -2096,41 +2114,42 @@ entity system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave is port ( mi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); mi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_valid_i_reg : out STD_LOGIC; \gen_no_arbiter.m_grant_hot_i_reg[0]\ : out STD_LOGIC; \m_ready_d_reg[2]\ : out STD_LOGIC; - \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ : out STD_LOGIC; \s_axi_wready[0]\ : out STD_LOGIC; - \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ : out STD_LOGIC; + \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ : out STD_LOGIC; \m_ready_d_reg[0]\ : out STD_LOGIC; - s_ready_i_reg : out STD_LOGIC; \m_ready_d_reg[0]_0\ : out STD_LOGIC; SR : in STD_LOGIC_VECTOR ( 0 to 0 ); - \m_atarget_hot_reg[8]\ : in STD_LOGIC; + \gen_axilite.s_axi_awready_i_reg_0\ : in STD_LOGIC; aclk : in STD_LOGIC; - \m_atarget_hot_reg[8]_0\ : in STD_LOGIC; \m_atarget_enc_reg[2]\ : in STD_LOGIC; m_atarget_enc : in STD_LOGIC_VECTOR ( 3 downto 0 ); + m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_no_arbiter.m_valid_i_reg\ : in STD_LOGIC; + aa_rready : in STD_LOGIC; \m_atarget_enc_reg[2]_0\ : in STD_LOGIC; + \m_atarget_enc_reg[2]_1\ : in STD_LOGIC; \m_ready_d_reg[0]_1\ : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \m_atarget_enc_reg[0]\ : in STD_LOGIC; - \m_atarget_enc_reg[2]_1\ : in STD_LOGIC; - \m_atarget_enc_reg[2]_2\ : in STD_LOGIC; + \m_atarget_enc_reg[1]\ : in STD_LOGIC; \m_ready_d_reg[1]\ : in STD_LOGIC; + \m_atarget_enc_reg[0]\ : in STD_LOGIC; m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \m_atarget_enc_reg[2]_3\ : in STD_LOGIC; - \m_atarget_enc_reg[2]_4\ : in STD_LOGIC; + \m_atarget_enc_reg[0]_0\ : in STD_LOGIC; + \m_atarget_enc_reg[2]_2\ : in STD_LOGIC; \m_ready_d_reg[2]_0\ : in STD_LOGIC; - m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_ready_d_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 ); - m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \m_ready_d_reg[0]_2\ : in STD_LOGIC; - \m_atarget_enc_reg[2]_5\ : in STD_LOGIC; - \m_atarget_enc_reg[2]_6\ : in STD_LOGIC; + \m_atarget_enc_reg[2]_3\ : in STD_LOGIC; + \m_atarget_enc_reg[2]_4\ : in STD_LOGIC; \m_ready_d_reg[1]_0\ : in STD_LOGIC; - aa_rready : in STD_LOGIC; + m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \m_atarget_enc_reg[0]_1\ : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); - aresetn_d : in STD_LOGIC + aresetn_d : in STD_LOGIC; + \gen_no_arbiter.grant_rnw_reg\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave : entity is "axi_crossbar_v2_1_10_decerr_slave"; @@ -2138,24 +2157,27 @@ end system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave; architecture STRUCTURE of system_design_xbar_0_axi_crossbar_v2_1_10_decerr_slave is signal \gen_axilite.s_axi_arready_i_i_1_n_0\ : STD_LOGIC; + signal \gen_axilite.s_axi_awready_i_i_1_n_0\ : STD_LOGIC; signal \gen_axilite.s_axi_rvalid_i_i_1_n_0\ : STD_LOGIC; + signal m_valid_i_i_5_n_0 : STD_LOGIC; signal mi_arready : STD_LOGIC_VECTOR ( 8 to 8 ); signal \^mi_bvalid\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal mi_rvalid : STD_LOGIC_VECTOR ( 8 to 8 ); signal \^mi_wready\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \s_axi_wready[0]_INST_0_i_3_n_0\ : STD_LOGIC; signal \s_axi_wready[0]_INST_0_i_6_n_0\ : STD_LOGIC; begin mi_bvalid(0) <= \^mi_bvalid\(0); mi_wready(0) <= \^mi_wready\(0); \gen_axilite.s_axi_arready_i_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"8A8A828A" + INIT => X"AA0AA20A" ) port map ( I0 => aresetn_d, - I1 => mi_arready(8), + I1 => Q(0), I2 => mi_rvalid(8), - I3 => Q(0), + I3 => mi_arready(8), I4 => \m_ready_d_reg[1]_0\, O => \gen_axilite.s_axi_arready_i_i_1_n_0\ ); @@ -2167,11 +2189,23 @@ begin Q => mi_arready(8), R => '0' ); +\gen_axilite.s_axi_awready_i_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FFBF0040" + ) + port map ( + I0 => \^mi_bvalid\(0), + I1 => \gen_no_arbiter.grant_rnw_reg\, + I2 => Q(0), + I3 => \m_ready_d_reg[2]_0\, + I4 => \^mi_wready\(0), + O => \gen_axilite.s_axi_awready_i_i_1_n_0\ + ); \gen_axilite.s_axi_awready_i_reg\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => \m_atarget_hot_reg[8]_0\, + D => \gen_axilite.s_axi_awready_i_i_1_n_0\, Q => \^mi_wready\(0), R => SR(0) ); @@ -2179,20 +2213,20 @@ begin port map ( C => aclk, CE => '1', - D => \m_atarget_hot_reg[8]\, + D => \gen_axilite.s_axi_awready_i_reg_0\, Q => \^mi_bvalid\(0), R => SR(0) ); \gen_axilite.s_axi_rvalid_i_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"0FFF4400" + INIT => X"0F44FF00" ) port map ( I0 => \m_ready_d_reg[1]_0\, I1 => mi_arready(8), I2 => aa_rready, - I3 => Q(0), - I4 => mi_rvalid(8), + I3 => mi_rvalid(8), + I4 => Q(0), O => \gen_axilite.s_axi_rvalid_i_i_1_n_0\ ); \gen_axilite.s_axi_rvalid_i_reg\: unisim.vcomponents.FDRE @@ -2203,27 +2237,27 @@ begin Q => mi_rvalid(8), R => SR(0) ); -\gen_no_arbiter.m_valid_i_i_7\: unisim.vcomponents.LUT6 +\gen_no_arbiter.m_valid_i_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF000044F4" ) port map ( I0 => m_atarget_enc(3), - I1 => \m_atarget_enc_reg[2]_3\, - I2 => \m_atarget_enc_reg[2]_4\, + I1 => \m_atarget_enc_reg[0]_0\, + I2 => \m_atarget_enc_reg[2]_2\, I3 => \s_axi_wready[0]_INST_0_i_6_n_0\, I4 => \m_ready_d_reg[2]_0\, - I5 => m_ready_d(0), - O => \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ + I5 => m_ready_d_0(0), + O => \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ ); -\gen_no_arbiter.m_valid_i_i_9\: unisim.vcomponents.LUT6 +\gen_no_arbiter.m_valid_i_i_8\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFC74FF74" ) port map ( - I0 => \m_atarget_enc_reg[2]\, + I0 => \m_atarget_enc_reg[2]_0\, I1 => m_atarget_enc(1), - I2 => \m_atarget_enc_reg[2]_0\, + I2 => \m_atarget_enc_reg[2]_1\, I3 => m_atarget_enc(3), I4 => \^mi_bvalid\(0), I5 => \m_ready_d_reg[0]_1\, @@ -2231,31 +2265,18 @@ begin ); \m_ready_d[1]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000000030B800B8" + INIT => X"0000000030740074" ) port map ( - I0 => \m_atarget_enc_reg[2]_5\, + I0 => \m_atarget_enc_reg[2]_3\, I1 => m_atarget_enc(1), - I2 => \m_atarget_enc_reg[2]_6\, + I2 => \m_atarget_enc_reg[2]_4\, I3 => m_atarget_enc(3), I4 => mi_arready(8), I5 => \m_ready_d_reg[1]_0\, O => \m_ready_d_reg[0]_0\ ); -\m_ready_d[2]_i_10\: unisim.vcomponents.LUT6 - generic map( - INIT => X"00000000202030FF" - ) - port map ( - I0 => \^mi_wready\(0), - I1 => \m_atarget_enc_reg[0]\, - I2 => \m_atarget_enc_reg[2]_1\, - I3 => \m_atarget_enc_reg[2]_2\, - I4 => m_atarget_enc(3), - I5 => \m_ready_d_reg[1]\, - O => \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ - ); -\m_ready_d[2]_i_6\: unisim.vcomponents.LUT6 +\m_ready_d[2]_i_8\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000003E0E" ) @@ -2268,18 +2289,31 @@ begin I5 => \m_ready_d_reg[2]_0\, O => \m_ready_d_reg[0]\ ); -m_valid_i_i_6: unisim.vcomponents.LUT6 +m_valid_i_i_2: unisim.vcomponents.LUT6 generic map( - INIT => X"0000000033E200E2" + INIT => X"FFFFFF0E00000000" + ) + port map ( + I0 => \m_atarget_enc_reg[2]\, + I1 => m_atarget_enc(3), + I2 => m_valid_i_i_5_n_0, + I3 => m_ready_d(0), + I4 => \gen_no_arbiter.m_valid_i_reg\, + I5 => aa_rready, + O => m_valid_i_reg + ); +m_valid_i_i_5: unisim.vcomponents.LUT6 + generic map( + INIT => X"000033E2000000E2" ) port map ( I0 => m_axi_rvalid(0), I1 => m_atarget_enc(2), I2 => m_axi_rvalid(1), I3 => m_atarget_enc(3), - I4 => mi_rvalid(8), - I5 => \m_ready_d_reg[0]_2\, - O => s_ready_i_reg + I4 => \m_atarget_enc_reg[0]_1\, + I5 => mi_rvalid(8), + O => m_valid_i_i_5_n_0 ); \s_axi_bvalid[0]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( @@ -2294,7 +2328,20 @@ m_valid_i_i_6: unisim.vcomponents.LUT6 I5 => \m_ready_d_reg[0]_1\, O => \m_ready_d_reg[2]\ ); -\s_axi_wready[0]_INST_0_i_5\: unisim.vcomponents.LUT6 +\s_axi_wready[0]_INST_0_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0F0F0F070F0F0F00" + ) + port map ( + I0 => m_atarget_enc(0), + I1 => \m_atarget_enc_reg[1]\, + I2 => \s_axi_wready[0]_INST_0_i_3_n_0\, + I3 => \m_ready_d_reg[1]\, + I4 => m_atarget_enc(3), + I5 => \m_atarget_enc_reg[0]\, + O => \s_axi_wready[0]\ + ); +\s_axi_wready[0]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000003E0E" ) @@ -2305,7 +2352,7 @@ m_valid_i_i_6: unisim.vcomponents.LUT6 I3 => m_axi_wready(1), I4 => \s_axi_wready[0]_INST_0_i_6_n_0\, I5 => \m_ready_d_reg[1]\, - O => \s_axi_wready[0]\ + O => \s_axi_wready[0]_INST_0_i_3_n_0\ ); \s_axi_wready[0]_INST_0_i_6\: unisim.vcomponents.LUT4 generic map( @@ -2326,20 +2373,19 @@ use UNISIM.VCOMPONENTS.ALL; entity system_design_xbar_0_axi_crossbar_v2_1_10_splitter is port ( m_ready_d : out STD_LOGIC_VECTOR ( 2 downto 0 ); + \m_ready_d_reg[2]_0\ : out STD_LOGIC; + \m_ready_d_reg[2]_1\ : out STD_LOGIC; \gen_no_arbiter.m_grant_hot_i_reg[0]\ : out STD_LOGIC; - \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ : out STD_LOGIC; - \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ : out STD_LOGIC; - \gen_no_arbiter.m_grant_hot_i_reg[0]_2\ : out STD_LOGIC; m_atarget_enc : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_atarget_enc_reg[1]\ : in STD_LOGIC; \m_atarget_enc_reg[3]\ : in STD_LOGIC; - \m_atarget_enc_reg[2]\ : in STD_LOGIC; + \m_atarget_enc_reg[0]\ : in STD_LOGIC; \m_atarget_enc_reg[3]_0\ : in STD_LOGIC; m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 3 downto 0 ); aresetn_d : in STD_LOGIC; \gen_no_arbiter.grant_rnw_reg\ : in STD_LOGIC; - \m_atarget_enc_reg[0]\ : in STD_LOGIC; + \m_atarget_enc_reg[0]_0\ : in STD_LOGIC; \m_ready_d_reg[1]_0\ : in STD_LOGIC; aclk : in STD_LOGIC ); @@ -2352,60 +2398,48 @@ architecture STRUCTURE of system_design_xbar_0_axi_crossbar_v2_1_10_splitter is signal \m_ready_d[0]_i_1_n_0\ : STD_LOGIC; signal \m_ready_d[1]_i_1_n_0\ : STD_LOGIC; signal \m_ready_d[2]_i_1_n_0\ : STD_LOGIC; - signal \m_ready_d[2]_i_3_n_0\ : STD_LOGIC; - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \m_ready_d[2]_i_11\ : label is "soft_lutpair51"; - attribute SOFT_HLUTNM of \s_axi_wready[0]_INST_0_i_4\ : label is "soft_lutpair51"; + signal \m_ready_d[2]_i_4_n_0\ : STD_LOGIC; begin m_ready_d(2 downto 0) <= \^m_ready_d\(2 downto 0); \m_ready_d[0]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"AA02AA02AA020000" + INIT => X"AA08AA08AA080000" ) port map ( I0 => aresetn_d, I1 => \gen_no_arbiter.grant_rnw_reg\, - I2 => \m_atarget_enc_reg[0]\, + I2 => \m_atarget_enc_reg[0]_0\, I3 => \^m_ready_d\(0), - I4 => \m_ready_d[2]_i_3_n_0\, - I5 => \m_ready_d_reg[1]_0\, + I4 => \m_ready_d_reg[1]_0\, + I5 => \m_ready_d[2]_i_4_n_0\, O => \m_ready_d[0]_i_1_n_0\ ); \m_ready_d[1]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000000AAAA00A8" + INIT => X"0000AAAA000000A2" ) port map ( I0 => aresetn_d, I1 => \gen_no_arbiter.grant_rnw_reg\, - I2 => \m_atarget_enc_reg[0]\, + I2 => \m_atarget_enc_reg[0]_0\, I3 => \^m_ready_d\(0), - I4 => \m_ready_d[2]_i_3_n_0\, - I5 => \m_ready_d_reg[1]_0\, + I4 => \m_ready_d_reg[1]_0\, + I5 => \m_ready_d[2]_i_4_n_0\, O => \m_ready_d[1]_i_1_n_0\ ); \m_ready_d[2]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000AAAA000000A8" + INIT => X"00000000AAAA00A2" ) port map ( I0 => aresetn_d, I1 => \gen_no_arbiter.grant_rnw_reg\, - I2 => \m_atarget_enc_reg[0]\, + I2 => \m_atarget_enc_reg[0]_0\, I3 => \^m_ready_d\(0), - I4 => \m_ready_d[2]_i_3_n_0\, - I5 => \m_ready_d_reg[1]_0\, + I4 => \m_ready_d_reg[1]_0\, + I5 => \m_ready_d[2]_i_4_n_0\, O => \m_ready_d[2]_i_1_n_0\ ); -\m_ready_d[2]_i_11\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => m_atarget_enc(0), - I1 => m_atarget_enc(1), - O => \gen_no_arbiter.m_grant_hot_i_reg[0]\ - ); \m_ready_d[2]_i_12\: unisim.vcomponents.LUT4 generic map( INIT => X"3B38" @@ -2415,9 +2449,9 @@ begin I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_axi_wready(0), - O => \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ + O => \m_ready_d_reg[2]_0\ ); -\m_ready_d[2]_i_3\: unisim.vcomponents.LUT6 +\m_ready_d[2]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"000F000F00000007" ) @@ -2426,9 +2460,9 @@ begin I1 => \m_atarget_enc_reg[1]\, I2 => \^m_ready_d\(2), I3 => \m_atarget_enc_reg[3]\, - I4 => \m_atarget_enc_reg[2]\, + I4 => \m_atarget_enc_reg[0]\, I5 => \m_atarget_enc_reg[3]_0\, - O => \m_ready_d[2]_i_3_n_0\ + O => \m_ready_d[2]_i_4_n_0\ ); \m_ready_d_reg[0]\: unisim.vcomponents.FDRE port map ( @@ -2465,19 +2499,19 @@ begin I3 => m_axi_bvalid(2), I4 => m_atarget_enc(2), I5 => m_axi_bvalid(0), - O => \gen_no_arbiter.m_grant_hot_i_reg[0]_2\ + O => \gen_no_arbiter.m_grant_hot_i_reg[0]\ ); -\s_axi_wready[0]_INST_0_i_4\: unisim.vcomponents.LUT5 +\s_axi_wready[0]_INST_0_i_5\: unisim.vcomponents.LUT5 generic map( - INIT => X"FF47FFFF" + INIT => X"BBBFFFBF" ) port map ( - I0 => m_axi_wready(3), - I1 => m_atarget_enc(2), + I0 => m_atarget_enc(0), + I1 => m_atarget_enc(1), I2 => m_axi_wready(1), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - O => \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ + I3 => m_atarget_enc(2), + I4 => m_axi_wready(3), + O => \m_ready_d_reg[2]_1\ ); end STRUCTURE; library IEEE; @@ -2492,7 +2526,7 @@ entity \system_design_xbar_0_axi_crossbar_v2_1_10_splitter__parameterized0\ is \m_ready_d_reg[1]_0\ : in STD_LOGIC; \m_atarget_enc_reg[1]_0\ : in STD_LOGIC; aresetn_d : in STD_LOGIC; - m_valid_i_reg : in STD_LOGIC; + m_ready_d0 : in STD_LOGIC_VECTOR ( 0 to 0 ); aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; @@ -2501,37 +2535,37 @@ end \system_design_xbar_0_axi_crossbar_v2_1_10_splitter__parameterized0\; architecture STRUCTURE of \system_design_xbar_0_axi_crossbar_v2_1_10_splitter__parameterized0\ is signal \^m_ready_d\ : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal m_ready_d0 : STD_LOGIC_VECTOR ( 1 to 1 ); signal \m_ready_d[0]_i_1_n_0\ : STD_LOGIC; signal \m_ready_d[1]_i_1_n_0\ : STD_LOGIC; + signal \m_ready_d[1]_i_3_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \m_ready_d[0]_i_1\ : label is "soft_lutpair50"; - attribute SOFT_HLUTNM of \m_ready_d[1]_i_1\ : label is "soft_lutpair50"; + attribute SOFT_HLUTNM of \m_ready_d[0]_i_1\ : label is "soft_lutpair52"; + attribute SOFT_HLUTNM of \m_ready_d[1]_i_1\ : label is "soft_lutpair52"; begin m_ready_d(1 downto 0) <= \^m_ready_d\(1 downto 0); \m_ready_d[0]_i_1\: unisim.vcomponents.LUT3 generic map( - INIT => X"02" + INIT => X"80" ) port map ( I0 => aresetn_d, - I1 => m_ready_d0(1), - I2 => m_valid_i_reg, + I1 => m_ready_d0(0), + I2 => \m_ready_d[1]_i_3_n_0\, O => \m_ready_d[0]_i_1_n_0\ ); \m_ready_d[1]_i_1\: unisim.vcomponents.LUT3 generic map( - INIT => X"80" + INIT => X"02" ) port map ( I0 => aresetn_d, - I1 => m_ready_d0(1), - I2 => m_valid_i_reg, + I1 => m_ready_d0(0), + I2 => \m_ready_d[1]_i_3_n_0\, O => \m_ready_d[1]_i_1_n_0\ ); -\m_ready_d[1]_i_2\: unisim.vcomponents.LUT6 +\m_ready_d[1]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"AEAEAEFEAEAEAEAE" + INIT => X"5151510151515151" ) port map ( I0 => \^m_ready_d\(1), @@ -2540,7 +2574,7 @@ begin I3 => m_atarget_enc(1), I4 => \m_ready_d_reg[1]_0\, I5 => \m_atarget_enc_reg[1]_0\, - O => m_ready_d0(1) + O => \m_ready_d[1]_i_3_n_0\ ); \m_ready_d_reg[0]\: unisim.vcomponents.FDRE port map ( @@ -2567,27 +2601,32 @@ entity system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice is port ( sr_rvalid : out STD_LOGIC; aa_rready : out STD_LOGIC; - \m_ready_d_reg[1]\ : out STD_LOGIC; - \skid_buffer_reg[2]_0\ : out STD_LOGIC; + \gen_no_arbiter.m_valid_i_reg\ : out STD_LOGIC; + m_ready_d0 : out STD_LOGIC_VECTOR ( 0 to 0 ); \skid_buffer_reg[3]_0\ : out STD_LOGIC; - \skid_buffer_reg[3]_1\ : out STD_LOGIC; - s_ready_i_reg_0 : out STD_LOGIC; - s_ready_i_reg_1 : out STD_LOGIC; - m_axi_rready : out STD_LOGIC_VECTOR ( 6 downto 0 ); + m_valid_i_reg_0 : out STD_LOGIC; + m_valid_i_reg_1 : out STD_LOGIC; + s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_rready : out STD_LOGIC_VECTOR ( 7 downto 0 ); \s_axi_rdata[31]\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); aclk : in STD_LOGIC; - \m_atarget_enc_reg[0]\ : in STD_LOGIC; - E : in STD_LOGIC_VECTOR ( 0 to 0 ); + \m_atarget_enc_reg[3]\ : in STD_LOGIC; + m_valid_i_reg_2 : in STD_LOGIC; + \m_atarget_enc_reg[1]\ : in STD_LOGIC; + \m_atarget_enc_reg[3]_0\ : in STD_LOGIC; + m_atarget_enc : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \m_atarget_enc_reg[1]_0\ : in STD_LOGIC; + m_ready_d : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); - aa_grant_rnw : in STD_LOGIC; m_valid_i : in STD_LOGIC; - m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); + aa_grant_rnw : in STD_LOGIC; m_axi_rresp : in STD_LOGIC_VECTOR ( 15 downto 0 ); - m_atarget_enc : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 255 downto 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 5 downto 0 ); - Q : in STD_LOGIC_VECTOR ( 6 downto 0 ); - SR : in STD_LOGIC_VECTOR ( 0 to 0 ) + aa_grant_any : in STD_LOGIC; + Q : in STD_LOGIC_VECTOR ( 7 downto 0 ); + SR : in STD_LOGIC_VECTOR ( 0 to 0 ); + E : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice : entity is "axi_register_slice_v2_1_9_axic_register_slice"; @@ -2598,7 +2637,9 @@ architecture STRUCTURE of system_design_xbar_0_axi_register_slice_v2_1_9_axic_re signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC; signal \aresetn_d_reg_n_0_[1]\ : STD_LOGIC; signal \m_payload_i_reg_n_0_[0]\ : STD_LOGIC; + signal \^m_ready_d0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal m_valid_i_i_1_n_0 : STD_LOGIC; + signal m_valid_i_i_6_n_0 : STD_LOGIC; signal s_ready_i_i_1_n_0 : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 34 downto 0 ); signal \skid_buffer[10]_i_1_n_0\ : STD_LOGIC; @@ -2712,6 +2753,8 @@ architecture STRUCTURE of system_design_xbar_0_axi_register_slice_v2_1_9_axic_re signal \skid_buffer[34]_i_3_n_0\ : STD_LOGIC; signal \skid_buffer[34]_i_4_n_0\ : STD_LOGIC; signal \skid_buffer[34]_i_5_n_0\ : STD_LOGIC; + signal \skid_buffer[34]_i_6_n_0\ : STD_LOGIC; + signal \skid_buffer[34]_i_7_n_0\ : STD_LOGIC; signal \skid_buffer[3]_i_1_n_0\ : STD_LOGIC; signal \skid_buffer[3]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[3]_i_3_n_0\ : STD_LOGIC; @@ -2740,9 +2783,7 @@ architecture STRUCTURE of system_design_xbar_0_axi_register_slice_v2_1_9_axic_re signal \skid_buffer[9]_i_2_n_0\ : STD_LOGIC; signal \skid_buffer[9]_i_3_n_0\ : STD_LOGIC; signal \skid_buffer[9]_i_4_n_0\ : STD_LOGIC; - signal \^skid_buffer_reg[2]_0\ : STD_LOGIC; signal \^skid_buffer_reg[3]_0\ : STD_LOGIC; - signal \^skid_buffer_reg[3]_1\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; @@ -2780,53 +2821,56 @@ architecture STRUCTURE of system_design_xbar_0_axi_register_slice_v2_1_9_axic_re signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \^sr_rvalid\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \m_axi_rready[1]_INST_0\ : label is "soft_lutpair49"; - attribute SOFT_HLUTNM of \m_axi_rready[2]_INST_0\ : label is "soft_lutpair49"; - attribute SOFT_HLUTNM of \m_axi_rready[4]_INST_0\ : label is "soft_lutpair48"; - attribute SOFT_HLUTNM of \m_axi_rready[5]_INST_0\ : label is "soft_lutpair48"; - attribute SOFT_HLUTNM of \m_axi_rready[6]_INST_0\ : label is "soft_lutpair47"; - attribute SOFT_HLUTNM of \m_axi_rready[7]_INST_0\ : label is "soft_lutpair47"; - attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair37"; - attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair38"; - attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair39"; - attribute SOFT_HLUTNM of \m_payload_i[13]_i_1\ : label is "soft_lutpair40"; - attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair41"; - attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair42"; - attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair43"; - attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair44"; - attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair45"; - attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair46"; - attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair45"; - attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair44"; - attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair43"; - attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair42"; - attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair41"; - attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair40"; - attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair39"; - attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair38"; - attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair37"; - attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair36"; - attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair35"; - attribute SOFT_HLUTNM of \m_payload_i[31]_i_1\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair46"; - attribute SOFT_HLUTNM of \m_payload_i[34]_i_2\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair35"; - attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair36"; - attribute SOFT_HLUTNM of m_valid_i_i_1 : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of s_ready_i_i_1 : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \m_axi_rready[0]_INST_0\ : label is "soft_lutpair51"; + attribute SOFT_HLUTNM of \m_axi_rready[1]_INST_0\ : label is "soft_lutpair51"; + attribute SOFT_HLUTNM of \m_axi_rready[2]_INST_0\ : label is "soft_lutpair50"; + attribute SOFT_HLUTNM of \m_axi_rready[3]_INST_0\ : label is "soft_lutpair50"; + attribute SOFT_HLUTNM of \m_axi_rready[4]_INST_0\ : label is "soft_lutpair49"; + attribute SOFT_HLUTNM of \m_axi_rready[5]_INST_0\ : label is "soft_lutpair49"; + attribute SOFT_HLUTNM of \m_axi_rready[6]_INST_0\ : label is "soft_lutpair48"; + attribute SOFT_HLUTNM of \m_axi_rready[7]_INST_0\ : label is "soft_lutpair48"; + attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair38"; + attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair39"; + attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair40"; + attribute SOFT_HLUTNM of \m_payload_i[13]_i_1\ : label is "soft_lutpair41"; + attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair42"; + attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair43"; + attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair44"; + attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair45"; + attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair46"; + attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair47"; + attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair47"; + attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair46"; + attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair45"; + attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair44"; + attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair43"; + attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair42"; + attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair41"; + attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair40"; + attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair39"; + attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair38"; + attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair32"; + attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair37"; + attribute SOFT_HLUTNM of \m_payload_i[31]_i_1\ : label is "soft_lutpair36"; + attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair35"; + attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair34"; + attribute SOFT_HLUTNM of \m_payload_i[34]_i_2\ : label is "soft_lutpair33"; + attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair32"; + attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair33"; + attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair34"; + attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair35"; + attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair36"; + attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair37"; + attribute SOFT_HLUTNM of \m_ready_d[2]_i_11\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of m_valid_i_i_1 : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of s_ready_i_i_1 : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of \skid_buffer[2]_i_3\ : label is "soft_lutpair29"; begin aa_rready <= \^aa_rready\; - \skid_buffer_reg[2]_0\ <= \^skid_buffer_reg[2]_0\; + m_ready_d0(0) <= \^m_ready_d0\(0); \skid_buffer_reg[3]_0\ <= \^skid_buffer_reg[3]_0\; - \skid_buffer_reg[3]_1\ <= \^skid_buffer_reg[3]_1\; sr_rvalid <= \^sr_rvalid\; \aresetn_d_reg[0]\: unisim.vcomponents.FDRE generic map( @@ -2850,6 +2894,19 @@ begin Q => \aresetn_d_reg_n_0_[1]\, R => SR(0) ); +\gen_no_arbiter.m_valid_i_i_4\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAAAAAAA80AA8000" + ) + port map ( + I0 => \^m_ready_d0\(0), + I1 => \m_atarget_enc_reg[1]\, + I2 => \m_atarget_enc_reg[3]_0\, + I3 => m_atarget_enc(0), + I4 => \m_atarget_enc_reg[1]_0\, + I5 => m_ready_d(1), + O => \gen_no_arbiter.m_valid_i_reg\ + ); \m_axi_rready[0]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" @@ -2877,7 +2934,7 @@ begin I1 => Q(2), O => m_axi_rready(2) ); -\m_axi_rready[4]_INST_0\: unisim.vcomponents.LUT2 +\m_axi_rready[3]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) @@ -2886,7 +2943,7 @@ begin I1 => Q(3), O => m_axi_rready(3) ); -\m_axi_rready[5]_INST_0\: unisim.vcomponents.LUT2 +\m_axi_rready[4]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) @@ -2895,7 +2952,7 @@ begin I1 => Q(4), O => m_axi_rready(4) ); -\m_axi_rready[6]_INST_0\: unisim.vcomponents.LUT2 +\m_axi_rready[5]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) @@ -2904,7 +2961,7 @@ begin I1 => Q(5), O => m_axi_rready(5) ); -\m_axi_rready[7]_INST_0\: unisim.vcomponents.LUT2 +\m_axi_rready[6]_INST_0\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) @@ -2913,6 +2970,15 @@ begin I1 => Q(6), O => m_axi_rready(6) ); +\m_axi_rready[7]_INST_0\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => \^aa_rready\, + I1 => Q(7), + O => m_axi_rready(7) + ); \m_payload_i[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" @@ -3533,31 +3599,52 @@ begin Q => \s_axi_rdata[31]\(8), R => '0' ); -\m_ready_d[1]_i_3\: unisim.vcomponents.LUT6 +\m_ready_d[1]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"000000007FFFFFFF" + INIT => X"FFFFFFFF80000000" ) port map ( - I0 => \^sr_rvalid\, - I1 => \m_payload_i_reg_n_0_[0]\, + I0 => \m_payload_i_reg_n_0_[0]\, + I1 => \^sr_rvalid\, I2 => s_axi_rready(0), - I3 => aa_grant_rnw, - I4 => m_valid_i, + I3 => m_valid_i, + I4 => aa_grant_rnw, I5 => m_ready_d(0), - O => \m_ready_d_reg[1]\ + O => \^m_ready_d0\(0) + ); +\m_ready_d[2]_i_11\: unisim.vcomponents.LUT2 + generic map( + INIT => X"E" + ) + port map ( + I0 => m_atarget_enc(0), + I1 => m_atarget_enc(1), + O => m_valid_i_reg_0 ); -m_valid_i_i_1: unisim.vcomponents.LUT4 +m_valid_i_i_1: unisim.vcomponents.LUT3 generic map( - INIT => X"A2AA" + INIT => X"A2" ) port map ( I0 => \aresetn_d_reg_n_0_[1]\, - I1 => \^aa_rready\, - I2 => \m_atarget_enc_reg[0]\, - I3 => E(0), + I1 => \m_atarget_enc_reg[3]\, + I2 => m_valid_i_reg_2, O => m_valid_i_i_1_n_0 ); -m_valid_i_i_3: unisim.vcomponents.LUT6 +m_valid_i_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"000047FFFFFF47FF" + ) + port map ( + I0 => m_axi_rvalid(4), + I1 => m_atarget_enc(2), + I2 => m_axi_rvalid(1), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_valid_i_i_6_n_0, + O => m_valid_i_reg_1 + ); +m_valid_i_i_6: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) @@ -3568,17 +3655,7 @@ m_valid_i_i_3: unisim.vcomponents.LUT6 I3 => m_axi_rvalid(3), I4 => m_atarget_enc(2), I5 => m_axi_rvalid(0), - O => s_ready_i_reg_1 - ); -m_valid_i_i_5: unisim.vcomponents.LUT3 - generic map( - INIT => X"35" - ) - port map ( - I0 => m_axi_rvalid(1), - I1 => m_axi_rvalid(4), - I2 => m_atarget_enc(2), - O => s_ready_i_reg_0 + O => m_valid_i_i_6_n_0 ); m_valid_i_reg: unisim.vcomponents.FDRE port map ( @@ -3588,48 +3665,34 @@ m_valid_i_reg: unisim.vcomponents.FDRE Q => \^sr_rvalid\, R => '0' ); -\s_axi_bresp[0]_INST_0_i_3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"FFDF" - ) - port map ( - I0 => m_atarget_enc(1), - I1 => m_atarget_enc(0), - I2 => m_atarget_enc(2), - I3 => m_atarget_enc(3), - O => \^skid_buffer_reg[3]_1\ - ); -\s_axi_bresp[0]_INST_0_i_7\: unisim.vcomponents.LUT4 +\s_axi_bresp[1]_INST_0_i_5\: unisim.vcomponents.LUT4 generic map( - INIT => X"FFFD" + INIT => X"FFFE" ) port map ( - I0 => m_atarget_enc(1), - I1 => m_atarget_enc(0), - I2 => m_atarget_enc(2), - I3 => m_atarget_enc(3), - O => \^skid_buffer_reg[2]_0\ + I0 => m_atarget_enc(2), + I1 => m_atarget_enc(3), + I2 => m_atarget_enc(1), + I3 => m_atarget_enc(0), + O => \^skid_buffer_reg[3]_0\ ); -\s_axi_bresp[1]_INST_0_i_5\: unisim.vcomponents.LUT4 +\s_axi_rvalid[0]_INST_0\: unisim.vcomponents.LUT2 generic map( - INIT => X"FFFE" + INIT => X"8" ) port map ( - I0 => m_atarget_enc(1), - I1 => m_atarget_enc(0), - I2 => m_atarget_enc(2), - I3 => m_atarget_enc(3), - O => \^skid_buffer_reg[3]_0\ + I0 => \^sr_rvalid\, + I1 => aa_grant_any, + O => s_axi_rvalid(0) ); -s_ready_i_i_1: unisim.vcomponents.LUT4 +s_ready_i_i_1: unisim.vcomponents.LUT3 generic map( - INIT => X"AA08" + INIT => X"A2" ) port map ( I0 => \aresetn_d_reg_n_0_[0]\, - I1 => \^aa_rready\, - I2 => \m_atarget_enc_reg[0]\, - I3 => E(0), + I1 => m_valid_i_reg_2, + I2 => \m_atarget_enc_reg[3]\, O => s_ready_i_i_1_n_0 ); s_ready_i_reg: unisim.vcomponents.FDRE @@ -3658,7 +3721,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(71), I2 => \skid_buffer[10]_i_2_n_0\, I3 => \skid_buffer[10]_i_3_n_0\, @@ -3666,6 +3729,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE O => \skid_buffer[10]_i_1_n_0\ ); \skid_buffer[10]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"22F2FFFF22F222F2" + ) + port map ( + I0 => m_axi_rdata(199), + I1 => \skid_buffer[34]_i_6_n_0\, + I2 => m_axi_rdata(231), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(7), + O => \skid_buffer[10]_i_2_n_0\ + ); +\skid_buffer[10]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000230000002000" ) @@ -3676,9 +3752,9 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(2), I4 => m_atarget_enc(3), I5 => m_axi_rdata(135), - O => \skid_buffer[10]_i_2_n_0\ + O => \skid_buffer[10]_i_3_n_0\ ); -\skid_buffer[10]_i_3\: unisim.vcomponents.LUT6 +\skid_buffer[10]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0302000000020000" ) @@ -3689,19 +3765,6 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(1), I4 => m_atarget_enc(0), I5 => m_axi_rdata(103), - O => \skid_buffer[10]_i_3_n_0\ - ); -\skid_buffer[10]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"44F444F4FFFF44F4" - ) - port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(7), - I2 => m_axi_rdata(199), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(231), - I5 => \skid_buffer[34]_i_5_n_0\, O => \skid_buffer[10]_i_4_n_0\ ); \skid_buffer[11]_i_1\: unisim.vcomponents.LUT5 @@ -3709,7 +3772,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(72), I2 => \skid_buffer[11]_i_2_n_0\, I3 => \skid_buffer[11]_i_3_n_0\, @@ -3718,41 +3781,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[11]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(168), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(136), + I0 => m_axi_rdata(232), + I1 => \skid_buffer[34]_i_7_n_0\, + I2 => m_axi_rdata(200), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(8), O => \skid_buffer[11]_i_2_n_0\ ); \skid_buffer[11]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"0302000000020000" ) port map ( - I0 => m_axi_rdata(104), + I0 => m_axi_rdata(40), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(40), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(104), O => \skid_buffer[11]_i_3_n_0\ ); \skid_buffer[11]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0000230000002000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(8), - I2 => m_axi_rdata(232), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(200), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(168), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(136), O => \skid_buffer[11]_i_4_n_0\ ); \skid_buffer[12]_i_1\: unisim.vcomponents.LUT5 @@ -3760,7 +3823,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(73), I2 => \skid_buffer[12]_i_2_n_0\, I3 => \skid_buffer[12]_i_3_n_0\, @@ -3769,41 +3832,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[12]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(169), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(137), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(9), + I2 => m_axi_rdata(233), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(201), + I5 => \skid_buffer[34]_i_6_n_0\, O => \skid_buffer[12]_i_2_n_0\ ); \skid_buffer[12]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"0302000000020000" ) port map ( - I0 => m_axi_rdata(105), + I0 => m_axi_rdata(41), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(41), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(105), O => \skid_buffer[12]_i_3_n_0\ ); \skid_buffer[12]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"00000C0800000008" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(9), - I2 => m_axi_rdata(233), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(201), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(137), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(169), O => \skid_buffer[12]_i_4_n_0\ ); \skid_buffer[13]_i_1\: unisim.vcomponents.LUT5 @@ -3811,7 +3874,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(74), I2 => \skid_buffer[13]_i_2_n_0\, I3 => \skid_buffer[13]_i_3_n_0\, @@ -3820,41 +3883,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[13]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(170), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(138), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(10), + I2 => m_axi_rdata(202), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(234), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[13]_i_2_n_0\ ); \skid_buffer[13]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(42), + I0 => m_axi_rdata(138), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rdata(106), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(170), O => \skid_buffer[13]_i_3_n_0\ ); \skid_buffer[13]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0200030002000000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(10), - I2 => m_axi_rdata(234), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(202), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(106), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(42), O => \skid_buffer[13]_i_4_n_0\ ); \skid_buffer[14]_i_1\: unisim.vcomponents.LUT5 @@ -3862,7 +3925,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(75), I2 => \skid_buffer[14]_i_2_n_0\, I3 => \skid_buffer[14]_i_3_n_0\, @@ -3870,6 +3933,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE O => \skid_buffer[14]_i_1_n_0\ ); \skid_buffer[14]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"44F444F4FFFF44F4" + ) + port map ( + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(11), + I2 => m_axi_rdata(235), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(203), + I5 => \skid_buffer[34]_i_6_n_0\, + O => \skid_buffer[14]_i_2_n_0\ + ); +\skid_buffer[14]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"00000C0800000008" ) @@ -3880,9 +3956,9 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(171), - O => \skid_buffer[14]_i_2_n_0\ + O => \skid_buffer[14]_i_3_n_0\ ); -\skid_buffer[14]_i_3\: unisim.vcomponents.LUT6 +\skid_buffer[14]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0302000000020000" ) @@ -3893,19 +3969,6 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(1), I4 => m_atarget_enc(0), I5 => m_axi_rdata(107), - O => \skid_buffer[14]_i_3_n_0\ - ); -\skid_buffer[14]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"44F444F4FFFF44F4" - ) - port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(11), - I2 => m_axi_rdata(235), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(203), - I5 => \^skid_buffer_reg[3]_1\, O => \skid_buffer[14]_i_4_n_0\ ); \skid_buffer[15]_i_1\: unisim.vcomponents.LUT5 @@ -3913,7 +3976,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(76), I2 => \skid_buffer[15]_i_2_n_0\, I3 => \skid_buffer[15]_i_3_n_0\, @@ -3922,41 +3985,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[15]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(172), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(140), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(12), + I2 => m_axi_rdata(204), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(236), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[15]_i_2_n_0\ ); \skid_buffer[15]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(108), + I0 => m_axi_rdata(140), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), - I5 => m_axi_rdata(44), + I5 => m_axi_rdata(172), O => \skid_buffer[15]_i_3_n_0\ ); \skid_buffer[15]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(12), - I2 => m_axi_rdata(236), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(204), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(44), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(108), O => \skid_buffer[15]_i_4_n_0\ ); \skid_buffer[16]_i_1\: unisim.vcomponents.LUT5 @@ -3964,7 +4027,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(77), I2 => \skid_buffer[16]_i_2_n_0\, I3 => \skid_buffer[16]_i_3_n_0\, @@ -3973,41 +4036,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[16]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(173), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(141), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(13), + I2 => m_axi_rdata(205), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(237), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[16]_i_2_n_0\ ); \skid_buffer[16]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(45), + I0 => m_axi_rdata(141), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rdata(109), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(173), O => \skid_buffer[16]_i_3_n_0\ ); \skid_buffer[16]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(13), - I2 => m_axi_rdata(205), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(237), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(45), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(109), O => \skid_buffer[16]_i_4_n_0\ ); \skid_buffer[17]_i_1\: unisim.vcomponents.LUT5 @@ -4015,7 +4078,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(78), I2 => \skid_buffer[17]_i_2_n_0\, I3 => \skid_buffer[17]_i_3_n_0\, @@ -4024,41 +4087,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[17]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(174), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(142), + I0 => m_axi_rdata(206), + I1 => \skid_buffer[34]_i_6_n_0\, + I2 => m_axi_rdata(238), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(14), O => \skid_buffer[17]_i_2_n_0\ ); \skid_buffer[17]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(46), + I0 => m_axi_rdata(142), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rdata(110), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(174), O => \skid_buffer[17]_i_3_n_0\ ); \skid_buffer[17]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0200030002000000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(14), - I2 => m_axi_rdata(238), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(206), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(110), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(46), O => \skid_buffer[17]_i_4_n_0\ ); \skid_buffer[18]_i_1\: unisim.vcomponents.LUT5 @@ -4066,7 +4129,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(79), I2 => \skid_buffer[18]_i_2_n_0\, I3 => \skid_buffer[18]_i_3_n_0\, @@ -4075,41 +4138,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[18]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(47), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rdata(111), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(15), + I2 => m_axi_rdata(239), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(207), + I5 => \skid_buffer[34]_i_6_n_0\, O => \skid_buffer[18]_i_2_n_0\ ); \skid_buffer[18]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(175), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(143), + I0 => m_axi_rdata(143), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(175), O => \skid_buffer[18]_i_3_n_0\ ); \skid_buffer[18]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(15), - I2 => m_axi_rdata(239), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(207), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(47), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(111), O => \skid_buffer[18]_i_4_n_0\ ); \skid_buffer[19]_i_1\: unisim.vcomponents.LUT5 @@ -4117,7 +4180,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(80), I2 => \skid_buffer[19]_i_2_n_0\, I3 => \skid_buffer[19]_i_3_n_0\, @@ -4125,6 +4188,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE O => \skid_buffer[19]_i_1_n_0\ ); \skid_buffer[19]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"44F444F4FFFF44F4" + ) + port map ( + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(16), + I2 => m_axi_rdata(240), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(208), + I5 => \skid_buffer[34]_i_6_n_0\, + O => \skid_buffer[19]_i_2_n_0\ + ); +\skid_buffer[19]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"00000C0800000008" ) @@ -4135,9 +4211,9 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(176), - O => \skid_buffer[19]_i_2_n_0\ + O => \skid_buffer[19]_i_3_n_0\ ); -\skid_buffer[19]_i_3\: unisim.vcomponents.LUT6 +\skid_buffer[19]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0200030002000000" ) @@ -4148,19 +4224,6 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(48), - O => \skid_buffer[19]_i_3_n_0\ - ); -\skid_buffer[19]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"44F444F4FFFF44F4" - ) - port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(16), - I2 => m_axi_rdata(208), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(240), - I5 => \skid_buffer[34]_i_5_n_0\, O => \skid_buffer[19]_i_4_n_0\ ); \skid_buffer[1]_i_1\: unisim.vcomponents.LUT6 @@ -4168,7 +4231,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFFFFFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rresp(4), I2 => \skid_buffer[1]_i_2_n_0\, I3 => \skid_buffer[1]_i_3_n_0\, @@ -4178,53 +4241,53 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[1]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"080C000008000000" ) port map ( - I0 => m_axi_rresp(2), + I0 => m_axi_rresp(14), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rresp(6), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rresp(12), O => \skid_buffer[1]_i_2_n_0\ ); -\skid_buffer[1]_i_3\: unisim.vcomponents.LUT6 +\skid_buffer[1]_i_3\: unisim.vcomponents.LUT5 generic map( - INIT => X"0000230000002000" + INIT => X"00050004" ) port map ( - I0 => m_axi_rresp(10), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rresp(8), + I0 => m_atarget_enc(2), + I1 => m_atarget_enc(3), + I2 => m_atarget_enc(1), + I3 => m_atarget_enc(0), + I4 => m_axi_rresp(0), O => \skid_buffer[1]_i_3_n_0\ ); -\skid_buffer[1]_i_4\: unisim.vcomponents.LUT5 +\skid_buffer[1]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"01010100" + INIT => X"00000C0800000008" ) port map ( - I0 => m_atarget_enc(1), - I1 => m_atarget_enc(0), - I2 => m_atarget_enc(2), - I3 => m_atarget_enc(3), - I4 => m_axi_rresp(0), + I0 => m_axi_rresp(8), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rresp(10), O => \skid_buffer[1]_i_4_n_0\ ); \skid_buffer[1]_i_5\: unisim.vcomponents.LUT6 generic map( - INIT => X"0C00080000000800" + INIT => X"0302000000020000" ) port map ( - I0 => m_axi_rresp(12), + I0 => m_axi_rresp(2), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_atarget_enc(1), I4 => m_atarget_enc(0), - I5 => m_axi_rresp(14), + I5 => m_axi_rresp(6), O => \skid_buffer[1]_i_5_n_0\ ); \skid_buffer[20]_i_1\: unisim.vcomponents.LUT5 @@ -4232,7 +4295,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(81), I2 => \skid_buffer[20]_i_2_n_0\, I3 => \skid_buffer[20]_i_3_n_0\, @@ -4240,6 +4303,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE O => \skid_buffer[20]_i_1_n_0\ ); \skid_buffer[20]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"22F2FFFF22F222F2" + ) + port map ( + I0 => m_axi_rdata(209), + I1 => \skid_buffer[34]_i_6_n_0\, + I2 => m_axi_rdata(241), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(17), + O => \skid_buffer[20]_i_2_n_0\ + ); +\skid_buffer[20]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"00000C0800000008" ) @@ -4250,9 +4326,9 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(177), - O => \skid_buffer[20]_i_2_n_0\ + O => \skid_buffer[20]_i_3_n_0\ ); -\skid_buffer[20]_i_3\: unisim.vcomponents.LUT6 +\skid_buffer[20]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0200030002000000" ) @@ -4263,19 +4339,6 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(49), - O => \skid_buffer[20]_i_3_n_0\ - ); -\skid_buffer[20]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"44F444F4FFFF44F4" - ) - port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(17), - I2 => m_axi_rdata(209), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(241), - I5 => \skid_buffer[34]_i_5_n_0\, O => \skid_buffer[20]_i_4_n_0\ ); \skid_buffer[21]_i_1\: unisim.vcomponents.LUT5 @@ -4283,7 +4346,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(82), I2 => \skid_buffer[21]_i_2_n_0\, I3 => \skid_buffer[21]_i_3_n_0\, @@ -4292,41 +4355,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[21]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(178), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(146), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(18), + I2 => m_axi_rdata(210), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(242), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[21]_i_2_n_0\ ); \skid_buffer[21]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(114), + I0 => m_axi_rdata(146), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), - I5 => m_axi_rdata(50), + I5 => m_axi_rdata(178), O => \skid_buffer[21]_i_3_n_0\ ); \skid_buffer[21]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(18), - I2 => m_axi_rdata(242), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(210), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(50), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(114), O => \skid_buffer[21]_i_4_n_0\ ); \skid_buffer[22]_i_1\: unisim.vcomponents.LUT5 @@ -4334,7 +4397,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(83), I2 => \skid_buffer[22]_i_2_n_0\, I3 => \skid_buffer[22]_i_3_n_0\, @@ -4343,15 +4406,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[22]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(51), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rdata(115), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(19), + I2 => m_axi_rdata(211), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(243), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[22]_i_2_n_0\ ); \skid_buffer[22]_i_3\: unisim.vcomponents.LUT6 @@ -4369,15 +4432,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[22]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0200030002000000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(19), - I2 => m_axi_rdata(211), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(243), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(115), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(51), O => \skid_buffer[22]_i_4_n_0\ ); \skid_buffer[23]_i_1\: unisim.vcomponents.LUT5 @@ -4385,7 +4448,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(84), I2 => \skid_buffer[23]_i_2_n_0\, I3 => \skid_buffer[23]_i_3_n_0\, @@ -4394,41 +4457,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[23]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(180), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(148), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(20), + I2 => m_axi_rdata(212), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(244), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[23]_i_2_n_0\ ); \skid_buffer[23]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(52), + I0 => m_axi_rdata(148), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rdata(116), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(180), O => \skid_buffer[23]_i_3_n_0\ ); \skid_buffer[23]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0200030002000000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(20), - I2 => m_axi_rdata(244), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(212), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(116), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(52), O => \skid_buffer[23]_i_4_n_0\ ); \skid_buffer[24]_i_1\: unisim.vcomponents.LUT5 @@ -4436,7 +4499,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(85), I2 => \skid_buffer[24]_i_2_n_0\, I3 => \skid_buffer[24]_i_3_n_0\, @@ -4445,18 +4508,31 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[24]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000C0800000008" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(149), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(181), + I0 => m_axi_rdata(213), + I1 => \skid_buffer[34]_i_6_n_0\, + I2 => m_axi_rdata(245), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(21), O => \skid_buffer[24]_i_2_n_0\ ); \skid_buffer[24]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000230000002000" + ) + port map ( + I0 => m_axi_rdata(181), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(149), + O => \skid_buffer[24]_i_3_n_0\ + ); +\skid_buffer[24]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0302000000020000" ) @@ -4467,27 +4543,14 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(1), I4 => m_atarget_enc(0), I5 => m_axi_rdata(117), - O => \skid_buffer[24]_i_3_n_0\ - ); -\skid_buffer[24]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"22F2FFFF22F222F2" - ) - port map ( - I0 => m_axi_rdata(245), - I1 => \skid_buffer[34]_i_5_n_0\, - I2 => m_axi_rdata(213), - I3 => \^skid_buffer_reg[3]_1\, - I4 => \^skid_buffer_reg[3]_0\, - I5 => m_axi_rdata(21), - O => \skid_buffer[24]_i_4_n_0\ + O => \skid_buffer[24]_i_4_n_0\ ); \skid_buffer[25]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(86), I2 => \skid_buffer[25]_i_2_n_0\, I3 => \skid_buffer[25]_i_3_n_0\, @@ -4496,15 +4559,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[25]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000C0800000008" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(150), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(182), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(22), + I2 => m_axi_rdata(214), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(246), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[25]_i_2_n_0\ ); \skid_buffer[25]_i_3\: unisim.vcomponents.LUT6 @@ -4522,15 +4585,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[25]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0000230000002000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(22), - I2 => m_axi_rdata(214), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(246), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(182), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(150), O => \skid_buffer[25]_i_4_n_0\ ); \skid_buffer[26]_i_1\: unisim.vcomponents.LUT5 @@ -4538,7 +4601,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(87), I2 => \skid_buffer[26]_i_2_n_0\, I3 => \skid_buffer[26]_i_3_n_0\, @@ -4547,41 +4610,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[26]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000C0800000008" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(151), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(183), + I0 => m_axi_rdata(215), + I1 => \skid_buffer[34]_i_6_n_0\, + I2 => m_axi_rdata(247), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(23), O => \skid_buffer[26]_i_2_n_0\ ); \skid_buffer[26]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"0302000000020000" ) port map ( - I0 => m_axi_rdata(119), + I0 => m_axi_rdata(55), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(55), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(119), O => \skid_buffer[26]_i_3_n_0\ ); \skid_buffer[26]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"00000C0800000008" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(23), - I2 => m_axi_rdata(215), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(247), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(151), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(183), O => \skid_buffer[26]_i_4_n_0\ ); \skid_buffer[27]_i_1\: unisim.vcomponents.LUT5 @@ -4589,7 +4652,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(88), I2 => \skid_buffer[27]_i_2_n_0\, I3 => \skid_buffer[27]_i_3_n_0\, @@ -4598,41 +4661,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[27]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(184), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(152), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(24), + I2 => m_axi_rdata(248), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(216), + I5 => \skid_buffer[34]_i_6_n_0\, O => \skid_buffer[27]_i_2_n_0\ ); \skid_buffer[27]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(120), + I0 => m_axi_rdata(152), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), - I5 => m_axi_rdata(56), + I5 => m_axi_rdata(184), O => \skid_buffer[27]_i_3_n_0\ ); \skid_buffer[27]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(24), - I2 => m_axi_rdata(216), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(248), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(56), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(120), O => \skid_buffer[27]_i_4_n_0\ ); \skid_buffer[28]_i_1\: unisim.vcomponents.LUT5 @@ -4640,7 +4703,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(89), I2 => \skid_buffer[28]_i_2_n_0\, I3 => \skid_buffer[28]_i_3_n_0\, @@ -4649,41 +4712,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[28]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000C0800000008" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(153), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(185), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(25), + I2 => m_axi_rdata(217), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(249), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[28]_i_2_n_0\ ); \skid_buffer[28]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(121), + I0 => m_axi_rdata(153), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), - I5 => m_axi_rdata(57), + I5 => m_axi_rdata(185), O => \skid_buffer[28]_i_3_n_0\ ); \skid_buffer[28]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(25), - I2 => m_axi_rdata(217), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(249), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(57), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(121), O => \skid_buffer[28]_i_4_n_0\ ); \skid_buffer[29]_i_1\: unisim.vcomponents.LUT5 @@ -4691,7 +4754,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(90), I2 => \skid_buffer[29]_i_2_n_0\, I3 => \skid_buffer[29]_i_3_n_0\, @@ -4699,6 +4762,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE O => \skid_buffer[29]_i_1_n_0\ ); \skid_buffer[29]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"44F444F4FFFF44F4" + ) + port map ( + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(26), + I2 => m_axi_rdata(250), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(218), + I5 => \skid_buffer[34]_i_6_n_0\, + O => \skid_buffer[29]_i_2_n_0\ + ); +\skid_buffer[29]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000230000002000" ) @@ -4709,32 +4785,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(2), I4 => m_atarget_enc(3), I5 => m_axi_rdata(154), - O => \skid_buffer[29]_i_2_n_0\ - ); -\skid_buffer[29]_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"0200030002000000" - ) - port map ( - I0 => m_axi_rdata(122), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(58), O => \skid_buffer[29]_i_3_n_0\ ); \skid_buffer[29]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(26), - I2 => m_axi_rdata(218), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(250), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(58), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(122), O => \skid_buffer[29]_i_4_n_0\ ); \skid_buffer[2]_i_1\: unisim.vcomponents.LUT6 @@ -4742,7 +4805,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFFFFFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rresp(5), I2 => \skid_buffer[2]_i_2_n_0\, I3 => \skid_buffer[2]_i_3_n_0\, @@ -4752,53 +4815,53 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[2]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"0000C80000000800" ) port map ( - I0 => m_axi_rresp(3), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rresp(7), + I0 => m_axi_rresp(13), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rresp(15), O => \skid_buffer[2]_i_2_n_0\ ); -\skid_buffer[2]_i_3\: unisim.vcomponents.LUT6 +\skid_buffer[2]_i_3\: unisim.vcomponents.LUT5 generic map( - INIT => X"0000230000002000" + INIT => X"00050004" ) port map ( - I0 => m_axi_rresp(11), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rresp(9), + I0 => m_atarget_enc(2), + I1 => m_atarget_enc(3), + I2 => m_atarget_enc(1), + I3 => m_atarget_enc(0), + I4 => m_axi_rresp(1), O => \skid_buffer[2]_i_3_n_0\ ); -\skid_buffer[2]_i_4\: unisim.vcomponents.LUT5 +\skid_buffer[2]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"01010100" + INIT => X"00000C0800000008" ) port map ( - I0 => m_atarget_enc(1), - I1 => m_atarget_enc(0), - I2 => m_atarget_enc(2), - I3 => m_atarget_enc(3), - I4 => m_axi_rresp(1), + I0 => m_axi_rresp(9), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rresp(11), O => \skid_buffer[2]_i_4_n_0\ ); \skid_buffer[2]_i_5\: unisim.vcomponents.LUT6 generic map( - INIT => X"00008C0000008000" + INIT => X"0200030002000000" ) port map ( - I0 => m_axi_rresp(15), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rresp(13), + I0 => m_axi_rresp(7), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rresp(3), O => \skid_buffer[2]_i_5_n_0\ ); \skid_buffer[30]_i_1\: unisim.vcomponents.LUT5 @@ -4806,7 +4869,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(91), I2 => \skid_buffer[30]_i_2_n_0\, I3 => \skid_buffer[30]_i_3_n_0\, @@ -4815,41 +4878,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[30]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(187), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(155), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(27), + I2 => m_axi_rdata(219), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(251), + I5 => \skid_buffer[34]_i_7_n_0\, O => \skid_buffer[30]_i_2_n_0\ ); \skid_buffer[30]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(123), + I0 => m_axi_rdata(155), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), - I5 => m_axi_rdata(59), + I5 => m_axi_rdata(187), O => \skid_buffer[30]_i_3_n_0\ ); \skid_buffer[30]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0200030002000000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(27), - I2 => m_axi_rdata(219), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(251), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(123), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(59), O => \skid_buffer[30]_i_4_n_0\ ); \skid_buffer[31]_i_1\: unisim.vcomponents.LUT5 @@ -4857,7 +4920,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(92), I2 => \skid_buffer[31]_i_2_n_0\, I3 => \skid_buffer[31]_i_3_n_0\, @@ -4866,41 +4929,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[31]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(188), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(156), + I0 => m_axi_rdata(252), + I1 => \skid_buffer[34]_i_7_n_0\, + I2 => m_axi_rdata(220), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(28), O => \skid_buffer[31]_i_2_n_0\ ); \skid_buffer[31]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"0302000000020000" ) port map ( - I0 => m_axi_rdata(124), + I0 => m_axi_rdata(60), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(60), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(124), O => \skid_buffer[31]_i_3_n_0\ ); \skid_buffer[31]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"00000C0800000008" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(28), - I2 => m_axi_rdata(252), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(220), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(156), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(188), O => \skid_buffer[31]_i_4_n_0\ ); \skid_buffer[32]_i_1\: unisim.vcomponents.LUT5 @@ -4908,7 +4971,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(93), I2 => \skid_buffer[32]_i_2_n_0\, I3 => \skid_buffer[32]_i_3_n_0\, @@ -4917,18 +4980,31 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[32]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000C0800000008" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(157), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(189), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(29), + I2 => m_axi_rdata(253), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(221), + I5 => \skid_buffer[34]_i_6_n_0\, O => \skid_buffer[32]_i_2_n_0\ ); \skid_buffer[32]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000230000002000" + ) + port map ( + I0 => m_axi_rdata(189), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(157), + O => \skid_buffer[32]_i_3_n_0\ + ); +\skid_buffer[32]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0200030002000000" ) @@ -4939,19 +5015,6 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(61), - O => \skid_buffer[32]_i_3_n_0\ - ); -\skid_buffer[32]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"44F444F4FFFF44F4" - ) - port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(29), - I2 => m_axi_rdata(221), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(253), - I5 => \skid_buffer[34]_i_5_n_0\, O => \skid_buffer[32]_i_4_n_0\ ); \skid_buffer[33]_i_1\: unisim.vcomponents.LUT5 @@ -4959,7 +5022,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(94), I2 => \skid_buffer[33]_i_2_n_0\, I3 => \skid_buffer[33]_i_3_n_0\, @@ -4968,18 +5031,31 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[33]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000C0800000008" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(158), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(190), + I0 => m_axi_rdata(254), + I1 => \skid_buffer[34]_i_7_n_0\, + I2 => m_axi_rdata(222), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(30), O => \skid_buffer[33]_i_2_n_0\ ); \skid_buffer[33]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000230000002000" + ) + port map ( + I0 => m_axi_rdata(190), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(158), + O => \skid_buffer[33]_i_3_n_0\ + ); +\skid_buffer[33]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0200030002000000" ) @@ -4990,19 +5066,6 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(62), - O => \skid_buffer[33]_i_3_n_0\ - ); -\skid_buffer[33]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"44F444F4FFFF44F4" - ) - port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(30), - I2 => m_axi_rdata(222), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(254), - I5 => \skid_buffer[34]_i_5_n_0\, O => \skid_buffer[33]_i_4_n_0\ ); \skid_buffer[34]_i_1\: unisim.vcomponents.LUT5 @@ -5010,69 +5073,91 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(95), - I2 => \skid_buffer[34]_i_2_n_0\, - I3 => \skid_buffer[34]_i_3_n_0\, - I4 => \skid_buffer[34]_i_4_n_0\, + I2 => \skid_buffer[34]_i_3_n_0\, + I3 => \skid_buffer[34]_i_4_n_0\, + I4 => \skid_buffer[34]_i_5_n_0\, O => \skid_buffer[34]_i_1_n_0\ ); -\skid_buffer[34]_i_2\: unisim.vcomponents.LUT6 +\skid_buffer[34]_i_2\: unisim.vcomponents.LUT4 generic map( - INIT => X"0200030002000000" + INIT => X"FEFF" ) port map ( - I0 => m_axi_rdata(127), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(63), + I0 => m_atarget_enc(2), + I1 => m_atarget_enc(3), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(1), O => \skid_buffer[34]_i_2_n_0\ ); \skid_buffer[34]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(191), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(159), + I0 => m_axi_rdata(223), + I1 => \skid_buffer[34]_i_6_n_0\, + I2 => m_axi_rdata(255), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(31), O => \skid_buffer[34]_i_3_n_0\ ); \skid_buffer[34]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"00000C0800000008" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(31), - I2 => m_axi_rdata(223), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(255), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(159), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(191), O => \skid_buffer[34]_i_4_n_0\ ); -\skid_buffer[34]_i_5\: unisim.vcomponents.LUT4 +\skid_buffer[34]_i_5\: unisim.vcomponents.LUT6 generic map( - INIT => X"DFFF" + INIT => X"0302000000020000" + ) + port map ( + I0 => m_axi_rdata(63), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(127), + O => \skid_buffer[34]_i_5_n_0\ + ); +\skid_buffer[34]_i_6\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FDFF" ) port map ( I0 => m_atarget_enc(2), I1 => m_atarget_enc(3), - I2 => m_atarget_enc(1), - I3 => m_atarget_enc(0), - O => \skid_buffer[34]_i_5_n_0\ + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(1), + O => \skid_buffer[34]_i_6_n_0\ + ); +\skid_buffer[34]_i_7\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FF7F" + ) + port map ( + I0 => m_atarget_enc(1), + I1 => m_atarget_enc(0), + I2 => m_atarget_enc(2), + I3 => m_atarget_enc(3), + O => \skid_buffer[34]_i_7_n_0\ ); \skid_buffer[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(64), I2 => \skid_buffer[3]_i_2_n_0\, I3 => \skid_buffer[3]_i_3_n_0\, @@ -5081,41 +5166,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[3]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(160), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(128), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(0), + I2 => m_axi_rdata(224), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(192), + I5 => \skid_buffer[34]_i_6_n_0\, O => \skid_buffer[3]_i_2_n_0\ ); \skid_buffer[3]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" - ) - port map ( - I0 => m_axi_rdata(96), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(32), + INIT => X"0000230000002000" + ) + port map ( + I0 => m_axi_rdata(160), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(128), O => \skid_buffer[3]_i_3_n_0\ ); \skid_buffer[3]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(0), - I2 => m_axi_rdata(192), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(224), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(32), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(96), O => \skid_buffer[3]_i_4_n_0\ ); \skid_buffer[4]_i_1\: unisim.vcomponents.LUT5 @@ -5123,7 +5208,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(65), I2 => \skid_buffer[4]_i_2_n_0\, I3 => \skid_buffer[4]_i_3_n_0\, @@ -5131,6 +5216,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE O => \skid_buffer[4]_i_1_n_0\ ); \skid_buffer[4]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"44F444F4FFFF44F4" + ) + port map ( + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(1), + I2 => m_axi_rdata(193), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => m_axi_rdata(225), + I5 => \skid_buffer[34]_i_7_n_0\, + O => \skid_buffer[4]_i_2_n_0\ + ); +\skid_buffer[4]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000230000002000" ) @@ -5141,32 +5239,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(2), I4 => m_atarget_enc(3), I5 => m_axi_rdata(129), - O => \skid_buffer[4]_i_2_n_0\ - ); -\skid_buffer[4]_i_3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"0302000000020000" - ) - port map ( - I0 => m_axi_rdata(33), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rdata(97), O => \skid_buffer[4]_i_3_n_0\ ); \skid_buffer[4]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0200030002000000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(1), - I2 => m_axi_rdata(225), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(193), - I5 => \^skid_buffer_reg[3]_1\, + I0 => m_axi_rdata(97), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(33), O => \skid_buffer[4]_i_4_n_0\ ); \skid_buffer[5]_i_1\: unisim.vcomponents.LUT5 @@ -5174,7 +5259,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(66), I2 => \skid_buffer[5]_i_2_n_0\, I3 => \skid_buffer[5]_i_3_n_0\, @@ -5183,41 +5268,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[5]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(162), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(130), + I0 => m_axi_rdata(194), + I1 => \skid_buffer[34]_i_6_n_0\, + I2 => m_axi_rdata(226), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(2), O => \skid_buffer[5]_i_2_n_0\ ); \skid_buffer[5]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0200030002000000" + INIT => X"00000C0800000008" ) port map ( - I0 => m_axi_rdata(98), + I0 => m_axi_rdata(130), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), - I5 => m_axi_rdata(34), + I5 => m_axi_rdata(162), O => \skid_buffer[5]_i_3_n_0\ ); \skid_buffer[5]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0302000000020000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(2), - I2 => m_axi_rdata(194), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(226), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(34), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(1), + I4 => m_atarget_enc(0), + I5 => m_axi_rdata(98), O => \skid_buffer[5]_i_4_n_0\ ); \skid_buffer[6]_i_1\: unisim.vcomponents.LUT5 @@ -5225,7 +5310,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(67), I2 => \skid_buffer[6]_i_2_n_0\, I3 => \skid_buffer[6]_i_3_n_0\, @@ -5234,15 +5319,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[6]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(163), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_rdata(131), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(3), + I2 => m_axi_rdata(227), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(195), + I5 => \skid_buffer[34]_i_6_n_0\, O => \skid_buffer[6]_i_2_n_0\ ); \skid_buffer[6]_i_3\: unisim.vcomponents.LUT6 @@ -5260,15 +5345,15 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[6]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"22F2FFFF22F222F2" + INIT => X"0000230000002000" ) port map ( - I0 => m_axi_rdata(227), - I1 => \skid_buffer[34]_i_5_n_0\, - I2 => m_axi_rdata(195), - I3 => \^skid_buffer_reg[3]_1\, - I4 => \^skid_buffer_reg[3]_0\, - I5 => m_axi_rdata(3), + I0 => m_axi_rdata(163), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(131), O => \skid_buffer[6]_i_4_n_0\ ); \skid_buffer[7]_i_1\: unisim.vcomponents.LUT5 @@ -5276,7 +5361,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(68), I2 => \skid_buffer[7]_i_2_n_0\, I3 => \skid_buffer[7]_i_3_n_0\, @@ -5284,6 +5369,19 @@ s_ready_i_reg: unisim.vcomponents.FDRE O => \skid_buffer[7]_i_1_n_0\ ); \skid_buffer[7]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"22F2FFFF22F222F2" + ) + port map ( + I0 => m_axi_rdata(228), + I1 => \skid_buffer[34]_i_7_n_0\, + I2 => m_axi_rdata(196), + I3 => \skid_buffer[34]_i_6_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(4), + O => \skid_buffer[7]_i_2_n_0\ + ); +\skid_buffer[7]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"00000C0800000008" ) @@ -5294,9 +5392,9 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(164), - O => \skid_buffer[7]_i_2_n_0\ + O => \skid_buffer[7]_i_3_n_0\ ); -\skid_buffer[7]_i_3\: unisim.vcomponents.LUT6 +\skid_buffer[7]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0200030002000000" ) @@ -5307,19 +5405,6 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), I5 => m_axi_rdata(36), - O => \skid_buffer[7]_i_3_n_0\ - ); -\skid_buffer[7]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"44F444F4FFFF44F4" - ) - port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(4), - I2 => m_axi_rdata(196), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(228), - I5 => \skid_buffer[34]_i_5_n_0\, O => \skid_buffer[7]_i_4_n_0\ ); \skid_buffer[8]_i_1\: unisim.vcomponents.LUT5 @@ -5327,7 +5412,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(69), I2 => \skid_buffer[8]_i_2_n_0\, I3 => \skid_buffer[8]_i_3_n_0\, @@ -5336,18 +5421,31 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[8]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000C0800000008" + INIT => X"22F2FFFF22F222F2" ) port map ( - I0 => m_axi_rdata(133), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(165), + I0 => m_axi_rdata(197), + I1 => \skid_buffer[34]_i_6_n_0\, + I2 => m_axi_rdata(229), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => \^skid_buffer_reg[3]_0\, + I5 => m_axi_rdata(5), O => \skid_buffer[8]_i_2_n_0\ ); \skid_buffer[8]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000230000002000" + ) + port map ( + I0 => m_axi_rdata(165), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(133), + O => \skid_buffer[8]_i_3_n_0\ + ); +\skid_buffer[8]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0302000000020000" ) @@ -5358,19 +5456,6 @@ s_ready_i_reg: unisim.vcomponents.FDRE I3 => m_atarget_enc(1), I4 => m_atarget_enc(0), I5 => m_axi_rdata(101), - O => \skid_buffer[8]_i_3_n_0\ - ); -\skid_buffer[8]_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"44F444F4FFFF44F4" - ) - port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(5), - I2 => m_axi_rdata(229), - I3 => \skid_buffer[34]_i_5_n_0\, - I4 => m_axi_rdata(197), - I5 => \^skid_buffer_reg[3]_1\, O => \skid_buffer[8]_i_4_n_0\ ); \skid_buffer[9]_i_1\: unisim.vcomponents.LUT5 @@ -5378,7 +5463,7 @@ s_ready_i_reg: unisim.vcomponents.FDRE INIT => X"FFFFFFF4" ) port map ( - I0 => \^skid_buffer_reg[2]_0\, + I0 => \skid_buffer[34]_i_2_n_0\, I1 => m_axi_rdata(70), I2 => \skid_buffer[9]_i_2_n_0\, I3 => \skid_buffer[9]_i_3_n_0\, @@ -5387,41 +5472,41 @@ s_ready_i_reg: unisim.vcomponents.FDRE ); \skid_buffer[9]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000C0800000008" + INIT => X"44F444F4FFFF44F4" ) port map ( - I0 => m_axi_rdata(134), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(0), - I4 => m_atarget_enc(1), - I5 => m_axi_rdata(166), + I0 => \^skid_buffer_reg[3]_0\, + I1 => m_axi_rdata(6), + I2 => m_axi_rdata(230), + I3 => \skid_buffer[34]_i_7_n_0\, + I4 => m_axi_rdata(198), + I5 => \skid_buffer[34]_i_6_n_0\, O => \skid_buffer[9]_i_2_n_0\ ); \skid_buffer[9]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0302000000020000" + INIT => X"0000230000002000" ) port map ( - I0 => m_axi_rdata(38), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_rdata(102), + I0 => m_axi_rdata(166), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_rdata(134), O => \skid_buffer[9]_i_3_n_0\ ); \skid_buffer[9]_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"44F444F4FFFF44F4" + INIT => X"0200030002000000" ) port map ( - I0 => \^skid_buffer_reg[3]_0\, - I1 => m_axi_rdata(6), - I2 => m_axi_rdata(198), - I3 => \^skid_buffer_reg[3]_1\, - I4 => m_axi_rdata(230), - I5 => \skid_buffer[34]_i_5_n_0\, + I0 => m_axi_rdata(102), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_rdata(38), O => \skid_buffer[9]_i_4_n_0\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE @@ -5714,16 +5799,16 @@ entity system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd is Q : out STD_LOGIC_VECTOR ( 34 downto 0 ); \s_axi_rdata[31]\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); - m_axi_bready : out STD_LOGIC_VECTOR ( 6 downto 0 ); + m_axi_bready : out STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); - m_axi_wvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); - m_axi_awvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); - m_axi_arvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); + m_axi_wvalid : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awvalid : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arvalid : out STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); - m_axi_rready : out STD_LOGIC_VECTOR ( 6 downto 0 ); + m_axi_rready : out STD_LOGIC_VECTOR ( 7 downto 0 ); aresetn : in STD_LOGIC; aclk : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC_VECTOR ( 7 downto 0 ); @@ -5731,12 +5816,12 @@ entity system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd is m_axi_wready : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 7 downto 0 ); - m_axi_rvalid : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); - m_axi_arready : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 255 downto 0 ); + m_axi_rvalid : in STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arready : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); @@ -5749,37 +5834,41 @@ entity system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd is end system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd; architecture STRUCTURE of system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sasd is + signal \^q\ : STD_LOGIC_VECTOR ( 34 downto 0 ); + signal aa_grant_any : STD_LOGIC; signal aa_grant_rnw : STD_LOGIC; signal aa_rready : STD_LOGIC; - signal addr_arbiter_inst_n_10 : STD_LOGIC; - signal addr_arbiter_inst_n_101 : STD_LOGIC; signal addr_arbiter_inst_n_103 : STD_LOGIC; signal addr_arbiter_inst_n_104 : STD_LOGIC; - signal addr_arbiter_inst_n_105 : STD_LOGIC; - signal addr_arbiter_inst_n_11 : STD_LOGIC; - signal addr_arbiter_inst_n_12 : STD_LOGIC; + signal addr_arbiter_inst_n_106 : STD_LOGIC; + signal addr_arbiter_inst_n_107 : STD_LOGIC; + signal addr_arbiter_inst_n_108 : STD_LOGIC; + signal addr_arbiter_inst_n_109 : STD_LOGIC; + signal addr_arbiter_inst_n_110 : STD_LOGIC; + signal addr_arbiter_inst_n_113 : STD_LOGIC; signal addr_arbiter_inst_n_13 : STD_LOGIC; - signal addr_arbiter_inst_n_3 : STD_LOGIC; - signal addr_arbiter_inst_n_50 : STD_LOGIC; - signal addr_arbiter_inst_n_51 : STD_LOGIC; - signal addr_arbiter_inst_n_52 : STD_LOGIC; + signal addr_arbiter_inst_n_16 : STD_LOGIC; + signal addr_arbiter_inst_n_17 : STD_LOGIC; + signal addr_arbiter_inst_n_18 : STD_LOGIC; + signal addr_arbiter_inst_n_19 : STD_LOGIC; + signal addr_arbiter_inst_n_4 : STD_LOGIC; + signal addr_arbiter_inst_n_5 : STD_LOGIC; + signal addr_arbiter_inst_n_56 : STD_LOGIC; + signal addr_arbiter_inst_n_57 : STD_LOGIC; + signal addr_arbiter_inst_n_58 : STD_LOGIC; signal addr_arbiter_inst_n_6 : STD_LOGIC; - signal addr_arbiter_inst_n_60 : STD_LOGIC; - signal addr_arbiter_inst_n_62 : STD_LOGIC; - signal addr_arbiter_inst_n_63 : STD_LOGIC; - signal addr_arbiter_inst_n_78 : STD_LOGIC; - signal addr_arbiter_inst_n_79 : STD_LOGIC; - signal addr_arbiter_inst_n_80 : STD_LOGIC; - signal addr_arbiter_inst_n_81 : STD_LOGIC; - signal addr_arbiter_inst_n_83 : STD_LOGIC; - signal addr_arbiter_inst_n_84 : STD_LOGIC; - signal addr_arbiter_inst_n_92 : STD_LOGIC; + signal addr_arbiter_inst_n_67 : STD_LOGIC; + signal addr_arbiter_inst_n_69 : STD_LOGIC; + signal addr_arbiter_inst_n_70 : STD_LOGIC; + signal addr_arbiter_inst_n_71 : STD_LOGIC; + signal addr_arbiter_inst_n_88 : STD_LOGIC; + signal addr_arbiter_inst_n_89 : STD_LOGIC; + signal addr_arbiter_inst_n_90 : STD_LOGIC; + signal addr_arbiter_inst_n_91 : STD_LOGIC; signal addr_arbiter_inst_n_93 : STD_LOGIC; signal addr_arbiter_inst_n_94 : STD_LOGIC; - signal addr_arbiter_inst_n_95 : STD_LOGIC; - signal addr_arbiter_inst_n_96 : STD_LOGIC; - signal addr_arbiter_inst_n_97 : STD_LOGIC; signal aresetn_d : STD_LOGIC; + signal f_hot2enc_return0 : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_2\ : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_3\ : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_4\ : STD_LOGIC; @@ -5787,32 +5876,29 @@ architecture STRUCTURE of system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sas signal \gen_decerr.decerr_slave_inst_n_6\ : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_7\ : STD_LOGIC; signal \gen_decerr.decerr_slave_inst_n_8\ : STD_LOGIC; - signal \gen_decerr.decerr_slave_inst_n_9\ : STD_LOGIC; signal m_atarget_enc : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \m_atarget_enc[0]_i_1_n_0\ : STD_LOGIC; signal \m_atarget_enc[1]_i_1_n_0\ : STD_LOGIC; signal \m_atarget_enc[2]_i_1_n_0\ : STD_LOGIC; signal m_atarget_enc_comb : STD_LOGIC_VECTOR ( 3 to 3 ); signal m_atarget_hot : STD_LOGIC_VECTOR ( 8 downto 0 ); - signal m_atarget_hot0 : STD_LOGIC_VECTOR ( 7 downto 1 ); + signal m_atarget_hot0 : STD_LOGIC_VECTOR ( 7 downto 0 ); signal m_ready_d : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal m_ready_d0 : STD_LOGIC_VECTOR ( 0 to 0 ); signal m_ready_d_0 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m_valid_i : STD_LOGIC; signal mi_bvalid : STD_LOGIC_VECTOR ( 8 to 8 ); signal mi_wready : STD_LOGIC_VECTOR ( 8 to 8 ); signal p_1_in : STD_LOGIC; signal reg_slice_r_n_2 : STD_LOGIC; - signal reg_slice_r_n_3 : STD_LOGIC; signal reg_slice_r_n_4 : STD_LOGIC; signal reg_slice_r_n_5 : STD_LOGIC; signal reg_slice_r_n_6 : STD_LOGIC; - signal reg_slice_r_n_7 : STD_LOGIC; signal reset : STD_LOGIC; signal \s_axi_bresp[0]_INST_0_i_1_n_0\ : STD_LOGIC; signal \s_axi_bresp[0]_INST_0_i_2_n_0\ : STD_LOGIC; + signal \s_axi_bresp[0]_INST_0_i_3_n_0\ : STD_LOGIC; signal \s_axi_bresp[0]_INST_0_i_4_n_0\ : STD_LOGIC; - signal \s_axi_bresp[0]_INST_0_i_5_n_0\ : STD_LOGIC; - signal \s_axi_bresp[0]_INST_0_i_6_n_0\ : STD_LOGIC; signal \s_axi_bresp[1]_INST_0_i_1_n_0\ : STD_LOGIC; signal \s_axi_bresp[1]_INST_0_i_2_n_0\ : STD_LOGIC; signal \s_axi_bresp[1]_INST_0_i_3_n_0\ : STD_LOGIC; @@ -5820,63 +5906,59 @@ architecture STRUCTURE of system_design_xbar_0_axi_crossbar_v2_1_10_crossbar_sas signal splitter_aw_n_3 : STD_LOGIC; signal splitter_aw_n_4 : STD_LOGIC; signal splitter_aw_n_5 : STD_LOGIC; - signal splitter_aw_n_6 : STD_LOGIC; signal sr_rvalid : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \m_atarget_enc[0]_i_1\ : label is "soft_lutpair53"; + attribute SOFT_HLUTNM of \m_atarget_enc[1]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_atarget_enc[2]_i_1\ : label is "soft_lutpair53"; - attribute SOFT_HLUTNM of \s_axi_bresp[0]_INST_0_i_6\ : label is "soft_lutpair52"; - attribute SOFT_HLUTNM of \s_axi_bresp[1]_INST_0_i_1\ : label is "soft_lutpair52"; begin + Q(34 downto 0) <= \^q\(34 downto 0); addr_arbiter_inst: entity work.system_design_xbar_0_axi_crossbar_v2_1_10_addr_arbiter_sasd port map ( - D(7) => addr_arbiter_inst_n_3, - D(6 downto 5) => m_atarget_hot0(7 downto 6), - D(4) => addr_arbiter_inst_n_6, - D(3) => m_atarget_hot0(4), - D(2 downto 1) => m_atarget_hot0(2 downto 1), - D(0) => addr_arbiter_inst_n_10, + D(8) => addr_arbiter_inst_n_6, + D(7 downto 2) => m_atarget_hot0(7 downto 2), + D(1) => addr_arbiter_inst_n_13, + D(0) => m_atarget_hot0(0), E(0) => p_1_in, - Q(34 downto 0) => Q(34 downto 0), + Q(34 downto 0) => \^q\(34 downto 0), SR(0) => reset, + aa_grant_any => aa_grant_any, aa_grant_rnw => aa_grant_rnw, aclk => aclk, aresetn_d => aresetn_d, - \gen_axilite.s_axi_awready_i_reg\ => addr_arbiter_inst_n_105, - \gen_axilite.s_axi_awready_i_reg_0\ => \gen_decerr.decerr_slave_inst_n_4\, - \gen_axilite.s_axi_bvalid_i_reg\ => addr_arbiter_inst_n_51, - \gen_axilite.s_axi_bvalid_i_reg_0\ => addr_arbiter_inst_n_80, - \gen_axilite.s_axi_bvalid_i_reg_1\ => addr_arbiter_inst_n_104, - \gen_axilite.s_axi_rvalid_i_reg\ => addr_arbiter_inst_n_92, - \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ => addr_arbiter_inst_n_60, - \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ => addr_arbiter_inst_n_63, - \gen_no_arbiter.m_grant_hot_i_reg[0]_2\ => addr_arbiter_inst_n_95, - \gen_no_arbiter.m_grant_hot_i_reg[0]_3\ => addr_arbiter_inst_n_97, - \gen_no_arbiter.m_valid_i_reg_0\ => addr_arbiter_inst_n_83, + f_hot2enc_return0 => f_hot2enc_return0, + \gen_axilite.s_axi_bvalid_i_reg\ => addr_arbiter_inst_n_57, + \gen_axilite.s_axi_bvalid_i_reg_0\ => addr_arbiter_inst_n_69, + \gen_axilite.s_axi_bvalid_i_reg_1\ => addr_arbiter_inst_n_90, + \gen_axilite.s_axi_bvalid_i_reg_2\ => addr_arbiter_inst_n_113, + \gen_axilite.s_axi_rvalid_i_reg\ => addr_arbiter_inst_n_103, + \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ => addr_arbiter_inst_n_67, + \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ => addr_arbiter_inst_n_91, + \gen_no_arbiter.m_grant_hot_i_reg[0]_2\ => addr_arbiter_inst_n_107, + \gen_no_arbiter.m_grant_hot_i_reg[0]_3\ => addr_arbiter_inst_n_108, + \gen_no_arbiter.m_valid_i_reg_0\ => addr_arbiter_inst_n_5, m_atarget_enc(3 downto 0) => m_atarget_enc(3 downto 0), - \m_atarget_enc_reg[0]\ => addr_arbiter_inst_n_101, - \m_atarget_enc_reg[1]\ => splitter_aw_n_6, - \m_atarget_enc_reg[1]_0\ => \gen_decerr.decerr_slave_inst_n_2\, - \m_atarget_enc_reg[1]_1\ => \gen_decerr.decerr_slave_inst_n_9\, - \m_atarget_enc_reg[1]_2\ => reg_slice_r_n_7, - \m_atarget_enc_reg[2]\ => \gen_decerr.decerr_slave_inst_n_3\, - \m_atarget_enc_reg[2]_0\ => splitter_aw_n_4, - \m_atarget_enc_reg[2]_1\ => reg_slice_r_n_6, - \m_atarget_enc_reg[2]_2\ => \gen_decerr.decerr_slave_inst_n_8\, - \m_atarget_enc_reg[3]\(0) => m_atarget_enc_comb(3), + m_atarget_enc_comb(0) => m_atarget_enc_comb(3), + \m_atarget_enc_reg[0]\ => addr_arbiter_inst_n_16, + \m_atarget_enc_reg[0]_0\ => \gen_decerr.decerr_slave_inst_n_5\, + \m_atarget_enc_reg[0]_1\ => splitter_aw_n_4, + \m_atarget_enc_reg[0]_2\ => reg_slice_r_n_5, + \m_atarget_enc_reg[0]_3\ => reg_slice_r_n_2, + \m_atarget_enc_reg[1]\ => splitter_aw_n_5, + \m_atarget_enc_reg[1]_0\ => \gen_decerr.decerr_slave_inst_n_3\, + \m_atarget_enc_reg[1]_1\ => \gen_decerr.decerr_slave_inst_n_8\, + \m_atarget_enc_reg[2]\ => \gen_decerr.decerr_slave_inst_n_4\, + \m_atarget_enc_reg[2]_0\ => splitter_aw_n_3, + \m_atarget_enc_reg[3]\ => addr_arbiter_inst_n_17, \m_atarget_enc_reg[3]_0\ => \gen_decerr.decerr_slave_inst_n_6\, - \m_atarget_enc_reg[3]_1\ => \gen_decerr.decerr_slave_inst_n_5\, - \m_atarget_hot_reg[2]\ => addr_arbiter_inst_n_103, - \m_atarget_hot_reg[7]\ => addr_arbiter_inst_n_11, - \m_atarget_hot_reg[7]_0\ => addr_arbiter_inst_n_12, - \m_atarget_hot_reg[7]_1\ => addr_arbiter_inst_n_13, - \m_atarget_hot_reg[8]\(7 downto 3) => m_atarget_hot(8 downto 4), - \m_atarget_hot_reg[8]\(2 downto 0) => m_atarget_hot(2 downto 0), + \m_atarget_hot_reg[1]\ => addr_arbiter_inst_n_19, + \m_atarget_hot_reg[4]\ => addr_arbiter_inst_n_18, + \m_atarget_hot_reg[7]\ => addr_arbiter_inst_n_104, + \m_atarget_hot_reg[8]\(8 downto 0) => m_atarget_hot(8 downto 0), m_axi_arready(7 downto 0) => m_axi_arready(7 downto 0), - m_axi_arvalid(6 downto 0) => m_axi_arvalid(6 downto 0), + m_axi_arvalid(7 downto 0) => m_axi_arvalid(7 downto 0), m_axi_awready(7 downto 0) => m_axi_awready(7 downto 0), - m_axi_awvalid(6 downto 0) => m_axi_awvalid(6 downto 0), - m_axi_bready(6 downto 0) => m_axi_bready(6 downto 0), + m_axi_awvalid(7 downto 0) => m_axi_awvalid(7 downto 0), + m_axi_bready(7 downto 0) => m_axi_bready(7 downto 0), m_axi_bvalid(3) => m_axi_bvalid(6), m_axi_bvalid(2) => m_axi_bvalid(4), m_axi_bvalid(1) => m_axi_bvalid(2), @@ -5885,19 +5967,23 @@ addr_arbiter_inst: entity work.system_design_xbar_0_axi_crossbar_v2_1_10_addr_ar m_axi_wready(2) => m_axi_wready(5), m_axi_wready(1) => m_axi_wready(3), m_axi_wready(0) => m_axi_wready(1), - m_axi_wvalid(6 downto 0) => m_axi_wvalid(6 downto 0), + m_axi_wvalid(7 downto 0) => m_axi_wvalid(7 downto 0), m_ready_d(2 downto 0) => m_ready_d_0(2 downto 0), + m_ready_d0(0) => m_ready_d0(0), m_ready_d_0(1 downto 0) => m_ready_d(1 downto 0), - \m_ready_d_reg[0]\ => addr_arbiter_inst_n_78, - \m_ready_d_reg[0]_0\ => addr_arbiter_inst_n_79, - \m_ready_d_reg[0]_1\ => addr_arbiter_inst_n_93, - \m_ready_d_reg[0]_2\ => addr_arbiter_inst_n_94, - \m_ready_d_reg[0]_3\ => addr_arbiter_inst_n_96, - \m_ready_d_reg[2]\ => addr_arbiter_inst_n_50, - \m_ready_d_reg[2]_0\ => addr_arbiter_inst_n_52, - \m_ready_d_reg[2]_1\ => addr_arbiter_inst_n_62, + \m_ready_d_reg[0]\ => addr_arbiter_inst_n_88, + \m_ready_d_reg[0]_0\ => addr_arbiter_inst_n_89, + \m_ready_d_reg[0]_1\ => addr_arbiter_inst_n_94, + \m_ready_d_reg[0]_2\ => addr_arbiter_inst_n_106, + \m_ready_d_reg[0]_3\ => addr_arbiter_inst_n_109, + \m_ready_d_reg[0]_4\ => addr_arbiter_inst_n_110, + \m_ready_d_reg[2]\ => addr_arbiter_inst_n_4, + \m_ready_d_reg[2]_0\ => addr_arbiter_inst_n_56, + \m_ready_d_reg[2]_1\ => addr_arbiter_inst_n_58, + \m_ready_d_reg[2]_2\ => addr_arbiter_inst_n_70, + \m_ready_d_reg[2]_3\ => addr_arbiter_inst_n_71, m_valid_i => m_valid_i, - m_valid_i_reg => reg_slice_r_n_2, + m_valid_i_reg => addr_arbiter_inst_n_93, mi_bvalid(0) => mi_bvalid(8), mi_wready(0) => mi_wready(8), s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), @@ -5911,11 +5997,8 @@ addr_arbiter_inst: entity work.system_design_xbar_0_axi_crossbar_v2_1_10_addr_ar s_axi_bready(0) => s_axi_bready(0), s_axi_bvalid(0) => s_axi_bvalid(0), s_axi_rready(0) => s_axi_rready(0), - s_axi_rvalid(0) => s_axi_rvalid(0), s_axi_wready(0) => s_axi_wready(0), s_axi_wvalid(0) => s_axi_wvalid(0), - s_ready_i_reg => addr_arbiter_inst_n_81, - s_ready_i_reg_0 => addr_arbiter_inst_n_84, sr_rvalid => sr_rvalid ); aresetn_d_reg: unisim.vcomponents.FDRE @@ -5936,21 +6019,22 @@ aresetn_d_reg: unisim.vcomponents.FDRE aa_rready => aa_rready, aclk => aclk, aresetn_d => aresetn_d, - \gen_no_arbiter.m_grant_hot_i_reg[0]\ => \gen_decerr.decerr_slave_inst_n_2\, - \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ => \gen_decerr.decerr_slave_inst_n_4\, - \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ => \gen_decerr.decerr_slave_inst_n_6\, + \gen_axilite.s_axi_awready_i_reg_0\ => addr_arbiter_inst_n_113, + \gen_no_arbiter.grant_rnw_reg\ => addr_arbiter_inst_n_69, + \gen_no_arbiter.m_grant_hot_i_reg[0]\ => \gen_decerr.decerr_slave_inst_n_3\, + \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ => \gen_decerr.decerr_slave_inst_n_6\, + \gen_no_arbiter.m_valid_i_reg\ => addr_arbiter_inst_n_91, m_atarget_enc(3 downto 0) => m_atarget_enc(3 downto 0), - \m_atarget_enc_reg[0]\ => splitter_aw_n_3, - \m_atarget_enc_reg[2]\ => addr_arbiter_inst_n_52, - \m_atarget_enc_reg[2]_0\ => addr_arbiter_inst_n_97, - \m_atarget_enc_reg[2]_1\ => splitter_aw_n_5, - \m_atarget_enc_reg[2]_2\ => splitter_aw_n_4, - \m_atarget_enc_reg[2]_3\ => addr_arbiter_inst_n_96, - \m_atarget_enc_reg[2]_4\ => addr_arbiter_inst_n_95, - \m_atarget_enc_reg[2]_5\ => addr_arbiter_inst_n_94, - \m_atarget_enc_reg[2]_6\ => addr_arbiter_inst_n_93, - \m_atarget_hot_reg[8]\ => addr_arbiter_inst_n_104, - \m_atarget_hot_reg[8]_0\ => addr_arbiter_inst_n_105, + \m_atarget_enc_reg[0]\ => splitter_aw_n_4, + \m_atarget_enc_reg[0]_0\ => addr_arbiter_inst_n_106, + \m_atarget_enc_reg[0]_1\ => reg_slice_r_n_5, + \m_atarget_enc_reg[1]\ => addr_arbiter_inst_n_4, + \m_atarget_enc_reg[2]\ => reg_slice_r_n_6, + \m_atarget_enc_reg[2]_0\ => addr_arbiter_inst_n_58, + \m_atarget_enc_reg[2]_1\ => addr_arbiter_inst_n_108, + \m_atarget_enc_reg[2]_2\ => addr_arbiter_inst_n_107, + \m_atarget_enc_reg[2]_3\ => addr_arbiter_inst_n_109, + \m_atarget_enc_reg[2]_4\ => addr_arbiter_inst_n_110, m_axi_awready(1) => m_axi_awready(4), m_axi_awready(0) => m_axi_awready(0), m_axi_bvalid(1) => m_axi_bvalid(4), @@ -5959,50 +6043,51 @@ aresetn_d_reg: unisim.vcomponents.FDRE m_axi_rvalid(0) => m_axi_rvalid(0), m_axi_wready(1) => m_axi_wready(4), m_axi_wready(0) => m_axi_wready(0), - m_ready_d(0) => m_ready_d_0(2), + m_ready_d(0) => m_ready_d(0), + m_ready_d_0(0) => m_ready_d_0(2), \m_ready_d_reg[0]\ => \gen_decerr.decerr_slave_inst_n_7\, - \m_ready_d_reg[0]_0\ => \gen_decerr.decerr_slave_inst_n_9\, - \m_ready_d_reg[0]_1\ => addr_arbiter_inst_n_60, - \m_ready_d_reg[0]_2\ => addr_arbiter_inst_n_84, - \m_ready_d_reg[1]\ => addr_arbiter_inst_n_63, - \m_ready_d_reg[1]_0\ => addr_arbiter_inst_n_92, - \m_ready_d_reg[2]\ => \gen_decerr.decerr_slave_inst_n_3\, - \m_ready_d_reg[2]_0\ => addr_arbiter_inst_n_80, + \m_ready_d_reg[0]_0\ => \gen_decerr.decerr_slave_inst_n_8\, + \m_ready_d_reg[0]_1\ => addr_arbiter_inst_n_67, + \m_ready_d_reg[1]\ => addr_arbiter_inst_n_71, + \m_ready_d_reg[1]_0\ => addr_arbiter_inst_n_103, + \m_ready_d_reg[2]\ => \gen_decerr.decerr_slave_inst_n_4\, + \m_ready_d_reg[2]_0\ => addr_arbiter_inst_n_90, + m_valid_i_reg => \gen_decerr.decerr_slave_inst_n_2\, mi_bvalid(0) => mi_bvalid(8), mi_wready(0) => mi_wready(8), - \s_axi_wready[0]\ => \gen_decerr.decerr_slave_inst_n_5\, - s_ready_i_reg => \gen_decerr.decerr_slave_inst_n_8\ + \s_axi_wready[0]\ => \gen_decerr.decerr_slave_inst_n_5\ ); -\m_atarget_enc[0]_i_1\: unisim.vcomponents.LUT5 +\m_atarget_enc[0]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"88888088" + INIT => X"00000000FFFF0B3A" ) port map ( - I0 => addr_arbiter_inst_n_101, - I1 => aresetn_d, - I2 => addr_arbiter_inst_n_13, - I3 => addr_arbiter_inst_n_12, - I4 => addr_arbiter_inst_n_11, + I0 => addr_arbiter_inst_n_18, + I1 => addr_arbiter_inst_n_19, + I2 => \^q\(16), + I3 => \^q\(17), + I4 => addr_arbiter_inst_n_104, + I5 => addr_arbiter_inst_n_16, O => \m_atarget_enc[0]_i_1_n_0\ ); -\m_atarget_enc[1]_i_1\: unisim.vcomponents.LUT3 +\m_atarget_enc[1]_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"A8" + INIT => X"CC08" ) port map ( - I0 => aresetn_d, - I1 => addr_arbiter_inst_n_13, - I2 => addr_arbiter_inst_n_103, + I0 => \^q\(17), + I1 => aresetn_d, + I2 => addr_arbiter_inst_n_19, + I3 => addr_arbiter_inst_n_17, O => \m_atarget_enc[1]_i_1_n_0\ ); -\m_atarget_enc[2]_i_1\: unisim.vcomponents.LUT3 +\m_atarget_enc[2]_i_1\: unisim.vcomponents.LUT2 generic map( - INIT => X"8A" + INIT => X"8" ) port map ( I0 => aresetn_d, - I1 => addr_arbiter_inst_n_13, - I2 => addr_arbiter_inst_n_12, + I1 => f_hot2enc_return0, O => \m_atarget_enc[2]_i_1_n_0\ ); \m_atarget_enc_reg[0]\: unisim.vcomponents.FDRE @@ -6041,7 +6126,7 @@ aresetn_d_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => addr_arbiter_inst_n_10, + D => m_atarget_hot0(0), Q => m_atarget_hot(0), R => reset ); @@ -6049,7 +6134,7 @@ aresetn_d_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => m_atarget_hot0(1), + D => addr_arbiter_inst_n_13, Q => m_atarget_hot(1), R => reset ); @@ -6061,6 +6146,14 @@ aresetn_d_reg: unisim.vcomponents.FDRE Q => m_atarget_hot(2), R => reset ); +\m_atarget_hot_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => m_atarget_hot0(3), + Q => m_atarget_hot(3), + R => reset + ); \m_atarget_hot_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, @@ -6073,7 +6166,7 @@ aresetn_d_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => addr_arbiter_inst_n_6, + D => m_atarget_hot0(5), Q => m_atarget_hot(5), R => reset ); @@ -6097,111 +6190,105 @@ aresetn_d_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', - D => addr_arbiter_inst_n_3, + D => addr_arbiter_inst_n_6, Q => m_atarget_hot(8), R => reset ); reg_slice_r: entity work.system_design_xbar_0_axi_register_slice_v2_1_9_axic_register_slice port map ( E(0) => p_1_in, - Q(6 downto 3) => m_atarget_hot(7 downto 4), - Q(2 downto 0) => m_atarget_hot(2 downto 0), + Q(7 downto 0) => m_atarget_hot(7 downto 0), SR(0) => reset, + aa_grant_any => aa_grant_any, aa_grant_rnw => aa_grant_rnw, aa_rready => aa_rready, aclk => aclk, + \gen_no_arbiter.m_valid_i_reg\ => reg_slice_r_n_2, m_atarget_enc(3 downto 0) => m_atarget_enc(3 downto 0), - \m_atarget_enc_reg[0]\ => addr_arbiter_inst_n_81, + \m_atarget_enc_reg[1]\ => addr_arbiter_inst_n_94, + \m_atarget_enc_reg[1]_0\ => \gen_decerr.decerr_slave_inst_n_8\, + \m_atarget_enc_reg[3]\ => \gen_decerr.decerr_slave_inst_n_2\, + \m_atarget_enc_reg[3]_0\ => addr_arbiter_inst_n_5, m_axi_rdata(255 downto 0) => m_axi_rdata(255 downto 0), - m_axi_rready(6 downto 0) => m_axi_rready(6 downto 0), + m_axi_rready(7 downto 0) => m_axi_rready(7 downto 0), m_axi_rresp(15 downto 0) => m_axi_rresp(15 downto 0), m_axi_rvalid(5 downto 3) => m_axi_rvalid(7 downto 5), m_axi_rvalid(2 downto 0) => m_axi_rvalid(3 downto 1), - m_ready_d(0) => m_ready_d(0), - \m_ready_d_reg[1]\ => reg_slice_r_n_2, + m_ready_d(1 downto 0) => m_ready_d(1 downto 0), + m_ready_d0(0) => m_ready_d0(0), m_valid_i => m_valid_i, + m_valid_i_reg_0 => reg_slice_r_n_5, + m_valid_i_reg_1 => reg_slice_r_n_6, + m_valid_i_reg_2 => addr_arbiter_inst_n_93, \s_axi_rdata[31]\(33 downto 0) => \s_axi_rdata[31]\(33 downto 0), s_axi_rready(0) => s_axi_rready(0), - s_ready_i_reg_0 => reg_slice_r_n_6, - s_ready_i_reg_1 => reg_slice_r_n_7, - \skid_buffer_reg[2]_0\ => reg_slice_r_n_3, + s_axi_rvalid(0) => s_axi_rvalid(0), \skid_buffer_reg[3]_0\ => reg_slice_r_n_4, - \skid_buffer_reg[3]_1\ => reg_slice_r_n_5, sr_rvalid => sr_rvalid ); \s_axi_bresp[0]_INST_0\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFFFFFFFBAFFBABA" + INIT => X"FFFEFFFEFFFFFFFE" ) port map ( I0 => \s_axi_bresp[0]_INST_0_i_1_n_0\, I1 => \s_axi_bresp[0]_INST_0_i_2_n_0\, - I2 => m_axi_bresp(10), - I3 => reg_slice_r_n_5, - I4 => m_axi_bresp(12), - I5 => \s_axi_bresp[0]_INST_0_i_4_n_0\, + I2 => \s_axi_bresp[0]_INST_0_i_3_n_0\, + I3 => \s_axi_bresp[0]_INST_0_i_4_n_0\, + I4 => m_axi_bresp(0), + I5 => reg_slice_r_n_4, O => s_axi_bresp(0) ); \s_axi_bresp[0]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000030800000008" + INIT => X"020C000002000000" ) port map ( - I0 => m_axi_bresp(8), + I0 => m_axi_bresp(6), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), I3 => m_atarget_enc(0), I4 => m_atarget_enc(1), - I5 => m_axi_bresp(2), + I5 => m_axi_bresp(12), O => \s_axi_bresp[0]_INST_0_i_1_n_0\ ); -\s_axi_bresp[0]_INST_0_i_2\: unisim.vcomponents.LUT4 +\s_axi_bresp[0]_INST_0_i_2\: unisim.vcomponents.LUT5 generic map( - INIT => X"FFDF" + INIT => X"00140004" ) port map ( I0 => m_atarget_enc(2), I1 => m_atarget_enc(3), I2 => m_atarget_enc(0), I3 => m_atarget_enc(1), + I4 => m_axi_bresp(2), O => \s_axi_bresp[0]_INST_0_i_2_n_0\ ); -\s_axi_bresp[0]_INST_0_i_4\: unisim.vcomponents.LUT6 +\s_axi_bresp[0]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFF4FFFFFFF4FFF4" + INIT => X"0000C20000000200" ) port map ( - I0 => reg_slice_r_n_4, - I1 => m_axi_bresp(0), - I2 => \s_axi_bresp[0]_INST_0_i_5_n_0\, - I3 => \s_axi_bresp[0]_INST_0_i_6_n_0\, - I4 => reg_slice_r_n_3, - I5 => m_axi_bresp(4), - O => \s_axi_bresp[0]_INST_0_i_4_n_0\ + I0 => m_axi_bresp(8), + I1 => m_atarget_enc(1), + I2 => m_atarget_enc(0), + I3 => m_atarget_enc(2), + I4 => m_atarget_enc(3), + I5 => m_axi_bresp(14), + O => \s_axi_bresp[0]_INST_0_i_3_n_0\ ); -\s_axi_bresp[0]_INST_0_i_5\: unisim.vcomponents.LUT6 +\s_axi_bresp[0]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"0E00000002000000" + INIT => X"0003080000000800" ) port map ( - I0 => m_axi_bresp(6), + I0 => m_axi_bresp(10), I1 => m_atarget_enc(2), I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_bresp(14), - O => \s_axi_bresp[0]_INST_0_i_5_n_0\ - ); -\s_axi_bresp[0]_INST_0_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"0100" - ) - port map ( - I0 => m_atarget_enc(0), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(2), - I3 => m_atarget_enc(3), - O => \s_axi_bresp[0]_INST_0_i_6_n_0\ + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_bresp(4), + O => \s_axi_bresp[0]_INST_0_i_4_n_0\ ); \s_axi_bresp[1]_INST_0\: unisim.vcomponents.LUT6 generic map( @@ -6216,55 +6303,55 @@ reg_slice_r: entity work.system_design_xbar_0_axi_register_slice_v2_1_9_axic_reg I5 => reg_slice_r_n_4, O => s_axi_bresp(1) ); -\s_axi_bresp[1]_INST_0_i_1\: unisim.vcomponents.LUT5 +\s_axi_bresp[1]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"10040004" + INIT => X"0200030002000000" ) port map ( - I0 => m_atarget_enc(2), - I1 => m_atarget_enc(3), - I2 => m_atarget_enc(1), + I0 => m_axi_bresp(7), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), I3 => m_atarget_enc(0), - I4 => m_axi_bresp(7), + I4 => m_atarget_enc(1), + I5 => m_axi_bresp(3), O => \s_axi_bresp[1]_INST_0_i_1_n_0\ ); -\s_axi_bresp[1]_INST_0_i_2\: unisim.vcomponents.LUT6 +\s_axi_bresp[1]_INST_0_i_2\: unisim.vcomponents.LUT5 generic map( - INIT => X"0C00080000000800" + INIT => X"01800100" ) port map ( - I0 => m_axi_bresp(13), - I1 => m_atarget_enc(2), - I2 => m_atarget_enc(3), - I3 => m_atarget_enc(1), - I4 => m_atarget_enc(0), - I5 => m_axi_bresp(15), + I0 => m_atarget_enc(1), + I1 => m_atarget_enc(0), + I2 => m_atarget_enc(2), + I3 => m_atarget_enc(3), + I4 => m_axi_bresp(15), O => \s_axi_bresp[1]_INST_0_i_2_n_0\ ); \s_axi_bresp[1]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000230000002000" + INIT => X"000B000000080000" ) port map ( - I0 => m_axi_bresp(11), - I1 => m_atarget_enc(1), - I2 => m_atarget_enc(0), - I3 => m_atarget_enc(2), - I4 => m_atarget_enc(3), - I5 => m_axi_bresp(9), + I0 => m_axi_bresp(13), + I1 => m_atarget_enc(2), + I2 => m_atarget_enc(3), + I3 => m_atarget_enc(0), + I4 => m_atarget_enc(1), + I5 => m_axi_bresp(5), O => \s_axi_bresp[1]_INST_0_i_3_n_0\ ); \s_axi_bresp[1]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"0000002C00000020" + INIT => X"0000230000002000" ) port map ( - I0 => m_axi_bresp(3), + I0 => m_axi_bresp(11), I1 => m_atarget_enc(1), I2 => m_atarget_enc(0), I3 => m_atarget_enc(2), I4 => m_atarget_enc(3), - I5 => m_axi_bresp(5), + I5 => m_axi_bresp(9), O => \s_axi_bresp[1]_INST_0_i_4_n_0\ ); splitter_ar: entity work.\system_design_xbar_0_axi_crossbar_v2_1_10_splitter__parameterized0\ @@ -6273,27 +6360,24 @@ splitter_ar: entity work.\system_design_xbar_0_axi_crossbar_v2_1_10_splitter__pa aresetn_d => aresetn_d, m_atarget_enc(1) => m_atarget_enc(3), m_atarget_enc(0) => m_atarget_enc(0), - \m_atarget_enc_reg[1]\ => \gen_decerr.decerr_slave_inst_n_9\, - \m_atarget_enc_reg[1]_0\ => addr_arbiter_inst_n_83, + \m_atarget_enc_reg[1]\ => \gen_decerr.decerr_slave_inst_n_8\, + \m_atarget_enc_reg[1]_0\ => addr_arbiter_inst_n_94, m_ready_d(1 downto 0) => m_ready_d(1 downto 0), - \m_ready_d_reg[1]_0\ => addr_arbiter_inst_n_92, - m_valid_i_reg => reg_slice_r_n_2 + m_ready_d0(0) => m_ready_d0(0), + \m_ready_d_reg[1]_0\ => addr_arbiter_inst_n_103 ); splitter_aw: entity work.system_design_xbar_0_axi_crossbar_v2_1_10_splitter port map ( aclk => aclk, aresetn_d => aresetn_d, - \gen_no_arbiter.grant_rnw_reg\ => addr_arbiter_inst_n_51, - \gen_no_arbiter.m_grant_hot_i_reg[0]\ => splitter_aw_n_3, - \gen_no_arbiter.m_grant_hot_i_reg[0]_0\ => splitter_aw_n_4, - \gen_no_arbiter.m_grant_hot_i_reg[0]_1\ => splitter_aw_n_5, - \gen_no_arbiter.m_grant_hot_i_reg[0]_2\ => splitter_aw_n_6, + \gen_no_arbiter.grant_rnw_reg\ => addr_arbiter_inst_n_57, + \gen_no_arbiter.m_grant_hot_i_reg[0]\ => splitter_aw_n_5, m_atarget_enc(3 downto 0) => m_atarget_enc(3 downto 0), - \m_atarget_enc_reg[0]\ => addr_arbiter_inst_n_50, - \m_atarget_enc_reg[1]\ => addr_arbiter_inst_n_78, - \m_atarget_enc_reg[2]\ => addr_arbiter_inst_n_96, + \m_atarget_enc_reg[0]\ => addr_arbiter_inst_n_106, + \m_atarget_enc_reg[0]_0\ => addr_arbiter_inst_n_56, + \m_atarget_enc_reg[1]\ => addr_arbiter_inst_n_88, \m_atarget_enc_reg[3]\ => \gen_decerr.decerr_slave_inst_n_7\, - \m_atarget_enc_reg[3]_0\ => addr_arbiter_inst_n_79, + \m_atarget_enc_reg[3]_0\ => addr_arbiter_inst_n_89, m_axi_bvalid(3) => m_axi_bvalid(7), m_axi_bvalid(2) => m_axi_bvalid(5), m_axi_bvalid(1) => m_axi_bvalid(3), @@ -6303,7 +6387,9 @@ splitter_aw: entity work.system_design_xbar_0_axi_crossbar_v2_1_10_splitter m_axi_wready(1) => m_axi_wready(2), m_axi_wready(0) => m_axi_wready(0), m_ready_d(2 downto 0) => m_ready_d_0(2 downto 0), - \m_ready_d_reg[1]_0\ => addr_arbiter_inst_n_62 + \m_ready_d_reg[1]_0\ => addr_arbiter_inst_n_70, + \m_ready_d_reg[2]_0\ => splitter_aw_n_3, + \m_ready_d_reg[2]_1\ => splitter_aw_n_4 ); end STRUCTURE; library IEEE; @@ -6430,9 +6516,9 @@ entity system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar is attribute C_FAMILY : string; attribute C_FAMILY of system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar : entity is "zynq"; attribute C_M_AXI_ADDR_WIDTH : string; - attribute C_M_AXI_ADDR_WIDTH of system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar : entity is "256'b0000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000"; + attribute C_M_AXI_ADDR_WIDTH of system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar : entity is "256'b0000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000"; attribute C_M_AXI_BASE_ADDR : string; - attribute C_M_AXI_BASE_ADDR of system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar : entity is "512'b00000000000000000000000000000000010000101100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000100001111000100000000000000000000000000000000000000000000000000010000111100010100000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000100001111000011000000000000000000000000000000000000000000000000010000111100000100000000000000000000000000000000000000000000000001000011110000000000000000000000"; + attribute C_M_AXI_BASE_ADDR of system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar : entity is "512'b00000000000000000000000000000000010000101100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000100001111000100000000000000000000000000000000000000000000000000010000111100010100000000000000000000000000000000000000000000000001000011110000100000000000000000000000000000000000000000000000000100001111000011000000000000000000000000000000000000000000000000010000111100000100000000000000000000000000000000000000000000000001000011110000000000000000000000"; attribute C_M_AXI_READ_CONNECTIVITY : string; attribute C_M_AXI_READ_CONNECTIVITY of system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar : entity is "256'b0000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_READ_ISSUING : string; @@ -6509,12 +6595,7 @@ architecture STRUCTURE of system_design_xbar_0_axi_crossbar_v2_1_10_axi_crossbar signal \<const0>\ : STD_LOGIC; signal \^m_axi_araddr\ : STD_LOGIC_VECTOR ( 15 downto 0 ); signal \^m_axi_arprot\ : STD_LOGIC_VECTOR ( 2 downto 0 ); - signal \^m_axi_arvalid\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^m_axi_awaddr\ : STD_LOGIC_VECTOR ( 255 downto 240 ); - signal \^m_axi_awvalid\ : STD_LOGIC_VECTOR ( 7 downto 0 ); - signal \^m_axi_bready\ : STD_LOGIC_VECTOR ( 7 downto 0 ); - signal \^m_axi_rready\ : STD_LOGIC_VECTOR ( 7 downto 0 ); - signal \^m_axi_wvalid\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); begin @@ -6768,9 +6849,6 @@ begin m_axi_aruser(2) <= \<const0>\; m_axi_aruser(1) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; - m_axi_arvalid(7 downto 4) <= \^m_axi_arvalid\(7 downto 4); - m_axi_arvalid(3) <= \<const0>\; - m_axi_arvalid(2 downto 0) <= \^m_axi_arvalid\(2 downto 0); m_axi_awaddr(255 downto 240) <= \^m_axi_awaddr\(255 downto 240); m_axi_awaddr(239 downto 224) <= \^m_axi_araddr\(15 downto 0); m_axi_awaddr(223 downto 208) <= \^m_axi_awaddr\(255 downto 240); @@ -7019,15 +7097,6 @@ begin m_axi_awuser(2) <= \<const0>\; m_axi_awuser(1) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; - m_axi_awvalid(7 downto 4) <= \^m_axi_awvalid\(7 downto 4); - m_axi_awvalid(3) <= \<const0>\; - m_axi_awvalid(2 downto 0) <= \^m_axi_awvalid\(2 downto 0); - m_axi_bready(7 downto 4) <= \^m_axi_bready\(7 downto 4); - m_axi_bready(3) <= \<const0>\; - m_axi_bready(2 downto 0) <= \^m_axi_bready\(2 downto 0); - m_axi_rready(7 downto 4) <= \^m_axi_rready\(7 downto 4); - m_axi_rready(3) <= \<const0>\; - m_axi_rready(2 downto 0) <= \^m_axi_rready\(2 downto 0); m_axi_wdata(255 downto 224) <= \^s_axi_wdata\(31 downto 0); m_axi_wdata(223 downto 192) <= \^s_axi_wdata\(31 downto 0); m_axi_wdata(191 downto 160) <= \^s_axi_wdata\(31 downto 0); @@ -7068,9 +7137,6 @@ begin m_axi_wuser(2) <= \<const0>\; m_axi_wuser(1) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; - m_axi_wvalid(7 downto 4) <= \^m_axi_wvalid\(7 downto 4); - m_axi_wvalid(3) <= \<const0>\; - m_axi_wvalid(2 downto 0) <= \^m_axi_wvalid\(2 downto 0); s_axi_bid(0) <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_rid(0) <= \<const0>\; @@ -7088,23 +7154,18 @@ GND: unisim.vcomponents.GND aclk => aclk, aresetn => aresetn, m_axi_arready(7 downto 0) => m_axi_arready(7 downto 0), - m_axi_arvalid(6 downto 3) => \^m_axi_arvalid\(7 downto 4), - m_axi_arvalid(2 downto 0) => \^m_axi_arvalid\(2 downto 0), + m_axi_arvalid(7 downto 0) => m_axi_arvalid(7 downto 0), m_axi_awready(7 downto 0) => m_axi_awready(7 downto 0), - m_axi_awvalid(6 downto 3) => \^m_axi_awvalid\(7 downto 4), - m_axi_awvalid(2 downto 0) => \^m_axi_awvalid\(2 downto 0), - m_axi_bready(6 downto 3) => \^m_axi_bready\(7 downto 4), - m_axi_bready(2 downto 0) => \^m_axi_bready\(2 downto 0), + m_axi_awvalid(7 downto 0) => m_axi_awvalid(7 downto 0), + m_axi_bready(7 downto 0) => m_axi_bready(7 downto 0), m_axi_bresp(15 downto 0) => m_axi_bresp(15 downto 0), m_axi_bvalid(7 downto 0) => m_axi_bvalid(7 downto 0), m_axi_rdata(255 downto 0) => m_axi_rdata(255 downto 0), - m_axi_rready(6 downto 3) => \^m_axi_rready\(7 downto 4), - m_axi_rready(2 downto 0) => \^m_axi_rready\(2 downto 0), + m_axi_rready(7 downto 0) => m_axi_rready(7 downto 0), m_axi_rresp(15 downto 0) => m_axi_rresp(15 downto 0), m_axi_rvalid(7 downto 0) => m_axi_rvalid(7 downto 0), m_axi_wready(7 downto 0) => m_axi_wready(7 downto 0), - m_axi_wvalid(6 downto 3) => \^m_axi_wvalid\(7 downto 4), - m_axi_wvalid(2 downto 0) => \^m_axi_wvalid\(2 downto 0), + m_axi_wvalid(7 downto 0) => m_axi_wvalid(7 downto 0), s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready(0) => s_axi_arready(0), @@ -7235,9 +7296,9 @@ architecture STRUCTURE of system_design_xbar_0 is attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "zynq"; attribute C_M_AXI_ADDR_WIDTH : string; - attribute C_M_AXI_ADDR_WIDTH of inst : label is "256'b0000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000"; + attribute C_M_AXI_ADDR_WIDTH of inst : label is "256'b0000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000"; attribute C_M_AXI_BASE_ADDR : string; - attribute C_M_AXI_BASE_ADDR of inst : label is "512'b00000000000000000000000000000000010000101100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000100001111000100000000000000000000000000000000000000000000000000010000111100010100000000000000001111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000100001111000011000000000000000000000000000000000000000000000000010000111100000100000000000000000000000000000000000000000000000001000011110000000000000000000000"; + attribute C_M_AXI_BASE_ADDR of inst : label is "512'b00000000000000000000000000000000010000101100000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000100001111000100000000000000000000000000000000000000000000000000010000111100010100000000000000000000000000000000000000000000000001000011110000100000000000000000000000000000000000000000000000000100001111000011000000000000000000000000000000000000000000000000010000111100000100000000000000000000000000000000000000000000000001000011110000000000000000000000"; attribute C_M_AXI_READ_CONNECTIVITY : string; attribute C_M_AXI_READ_CONNECTIVITY of inst : label is "256'b0000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001"; attribute C_M_AXI_READ_ISSUING : string; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.v index e85d184c7754e071eaf787e4b1b3221c8bd332d3..8ca26fbbce9f80b4f5dd69924e6b9c12d42a50b7 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.v +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.v @@ -1,7 +1,7 @@ // Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 -// Date : Tue Jun 20 20:01:34 2017 +// Date : Mon Dec 18 11:25:01 2017 // Host : lapte24154 running 64-bit openSUSE Leap 42.2 // Command : write_verilog -force -mode synth_stub // /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.v diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.vhdl index ce944ab7761bddb7c960c1a8cf4361ba3e7a60aa..93f03b958d3bc1d59242558d1d618477cba4a698 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.vhdl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.vhdl @@ -1,7 +1,7 @@ -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 --- Date : Tue Jun 20 20:01:34 2017 +-- Date : Mon Dec 18 11:25:01 2017 -- Host : lapte24154 running 64-bit openSUSE Leap 42.2 -- Command : write_vhdl -force -mode synth_stub -- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_0/system_design_xbar_0_stub.vhdl diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/sim/system_design_xbar_1.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/sim/system_design_xbar_1.v new file mode 100644 index 0000000000000000000000000000000000000000..53f3fc6ec9623f23407d566bf3075785ec6bd771 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/sim/system_design_xbar_1.v @@ -0,0 +1,416 @@ +// (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:axi_crossbar:2.1 +// IP Revision: 10 + +`timescale 1ns/1ps + +(* DowngradeIPIdentifiedWarnings = "yes" *) +module system_design_xbar_1 ( + aclk, + aresetn, + s_axi_awid, + s_axi_awaddr, + s_axi_awlen, + s_axi_awsize, + s_axi_awburst, + s_axi_awlock, + s_axi_awcache, + s_axi_awprot, + s_axi_awqos, + s_axi_awvalid, + s_axi_awready, + s_axi_wdata, + s_axi_wstrb, + s_axi_wlast, + s_axi_wvalid, + s_axi_wready, + s_axi_bid, + s_axi_bresp, + s_axi_bvalid, + s_axi_bready, + s_axi_arid, + s_axi_araddr, + s_axi_arlen, + s_axi_arsize, + s_axi_arburst, + s_axi_arlock, + s_axi_arcache, + s_axi_arprot, + s_axi_arqos, + s_axi_arvalid, + s_axi_arready, + s_axi_rid, + s_axi_rdata, + s_axi_rresp, + s_axi_rlast, + s_axi_rvalid, + s_axi_rready, + m_axi_awid, + m_axi_awaddr, + m_axi_awlen, + m_axi_awsize, + m_axi_awburst, + m_axi_awlock, + m_axi_awcache, + m_axi_awprot, + m_axi_awregion, + m_axi_awqos, + m_axi_awvalid, + m_axi_awready, + m_axi_wdata, + m_axi_wstrb, + m_axi_wlast, + m_axi_wvalid, + m_axi_wready, + m_axi_bid, + m_axi_bresp, + m_axi_bvalid, + m_axi_bready, + m_axi_arid, + m_axi_araddr, + m_axi_arlen, + m_axi_arsize, + m_axi_arburst, + m_axi_arlock, + m_axi_arcache, + m_axi_arprot, + m_axi_arregion, + m_axi_arqos, + m_axi_arvalid, + m_axi_arready, + m_axi_rid, + m_axi_rdata, + m_axi_rresp, + m_axi_rlast, + m_axi_rvalid, + m_axi_rready +); + +(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLKIF CLK" *) +input wire aclk; +(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RSTIF RST" *) +input wire aresetn; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWID" *) +input wire [11 : 0] s_axi_awid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWADDR" *) +input wire [31 : 0] s_axi_awaddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWLEN" *) +input wire [7 : 0] s_axi_awlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWSIZE" *) +input wire [2 : 0] s_axi_awsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWBURST" *) +input wire [1 : 0] s_axi_awburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWLOCK" *) +input wire [0 : 0] s_axi_awlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWCACHE" *) +input wire [3 : 0] s_axi_awcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWPROT" *) +input wire [2 : 0] s_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWQOS" *) +input wire [3 : 0] s_axi_awqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWVALID" *) +input wire [0 : 0] s_axi_awvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWREADY" *) +output wire [0 : 0] s_axi_awready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WDATA" *) +input wire [31 : 0] s_axi_wdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WSTRB" *) +input wire [3 : 0] s_axi_wstrb; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WLAST" *) +input wire [0 : 0] s_axi_wlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WVALID" *) +input wire [0 : 0] s_axi_wvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WREADY" *) +output wire [0 : 0] s_axi_wready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BID" *) +output wire [11 : 0] s_axi_bid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BRESP" *) +output wire [1 : 0] s_axi_bresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BVALID" *) +output wire [0 : 0] s_axi_bvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BREADY" *) +input wire [0 : 0] s_axi_bready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARID" *) +input wire [11 : 0] s_axi_arid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARADDR" *) +input wire [31 : 0] s_axi_araddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARLEN" *) +input wire [7 : 0] s_axi_arlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARSIZE" *) +input wire [2 : 0] s_axi_arsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARBURST" *) +input wire [1 : 0] s_axi_arburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARLOCK" *) +input wire [0 : 0] s_axi_arlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARCACHE" *) +input wire [3 : 0] s_axi_arcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARPROT" *) +input wire [2 : 0] s_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARQOS" *) +input wire [3 : 0] s_axi_arqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARVALID" *) +input wire [0 : 0] s_axi_arvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARREADY" *) +output wire [0 : 0] s_axi_arready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RID" *) +output wire [11 : 0] s_axi_rid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RDATA" *) +output wire [31 : 0] s_axi_rdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RRESP" *) +output wire [1 : 0] s_axi_rresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RLAST" *) +output wire [0 : 0] s_axi_rlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RVALID" *) +output wire [0 : 0] s_axi_rvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RREADY" *) +input wire [0 : 0] s_axi_rready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI AWID [11:0] [23:12]" *) +output wire [23 : 0] m_axi_awid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWADDR [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI AWADDR [31:0] [63:32]" *) +output wire [63 : 0] m_axi_awaddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWLEN [7:0] [7:0], xilinx.com:interface:aximm:1.0 M01_AXI AWLEN [7:0] [15:8]" *) +output wire [15 : 0] m_axi_awlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWSIZE [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI AWSIZE [2:0] [5:3]" *) +output wire [5 : 0] m_axi_awsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWBURST [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI AWBURST [1:0] [3:2]" *) +output wire [3 : 0] m_axi_awburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWLOCK [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWLOCK [0:0] [1:1]" *) +output wire [1 : 0] m_axi_awlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWCACHE [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWCACHE [3:0] [7:4]" *) +output wire [7 : 0] m_axi_awcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWPROT [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI AWPROT [2:0] [5:3]" *) +output wire [5 : 0] m_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWREGION [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWREGION [3:0] [7:4]" *) +output wire [7 : 0] m_axi_awregion; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWQOS [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWQOS [3:0] [7:4]" *) +output wire [7 : 0] m_axi_awqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWVALID [0:0] [1:1]" *) +output wire [1 : 0] m_axi_awvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWREADY [0:0] [1:1]" *) +input wire [1 : 0] m_axi_awready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WDATA [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI WDATA [31:0] [63:32]" *) +output wire [63 : 0] m_axi_wdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WSTRB [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI WSTRB [3:0] [7:4]" *) +output wire [7 : 0] m_axi_wstrb; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WLAST [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WLAST [0:0] [1:1]" *) +output wire [1 : 0] m_axi_wlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WVALID [0:0] [1:1]" *) +output wire [1 : 0] m_axi_wvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WREADY [0:0] [1:1]" *) +input wire [1 : 0] m_axi_wready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI BID [11:0] [23:12]" *) +input wire [23 : 0] m_axi_bid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BRESP [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI BRESP [1:0] [3:2]" *) +input wire [3 : 0] m_axi_bresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI BVALID [0:0] [1:1]" *) +input wire [1 : 0] m_axi_bvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI BREADY [0:0] [1:1]" *) +output wire [1 : 0] m_axi_bready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI ARID [11:0] [23:12]" *) +output wire [23 : 0] m_axi_arid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARADDR [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI ARADDR [31:0] [63:32]" *) +output wire [63 : 0] m_axi_araddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARLEN [7:0] [7:0], xilinx.com:interface:aximm:1.0 M01_AXI ARLEN [7:0] [15:8]" *) +output wire [15 : 0] m_axi_arlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARSIZE [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI ARSIZE [2:0] [5:3]" *) +output wire [5 : 0] m_axi_arsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARBURST [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI ARBURST [1:0] [3:2]" *) +output wire [3 : 0] m_axi_arburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARLOCK [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARLOCK [0:0] [1:1]" *) +output wire [1 : 0] m_axi_arlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARCACHE [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARCACHE [3:0] [7:4]" *) +output wire [7 : 0] m_axi_arcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARPROT [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI ARPROT [2:0] [5:3]" *) +output wire [5 : 0] m_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARREGION [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARREGION [3:0] [7:4]" *) +output wire [7 : 0] m_axi_arregion; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARQOS [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARQOS [3:0] [7:4]" *) +output wire [7 : 0] m_axi_arqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARVALID [0:0] [1:1]" *) +output wire [1 : 0] m_axi_arvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARREADY [0:0] [1:1]" *) +input wire [1 : 0] m_axi_arready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI RID [11:0] [23:12]" *) +input wire [23 : 0] m_axi_rid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RDATA [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI RDATA [31:0] [63:32]" *) +input wire [63 : 0] m_axi_rdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RRESP [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI RRESP [1:0] [3:2]" *) +input wire [3 : 0] m_axi_rresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RLAST [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RLAST [0:0] [1:1]" *) +input wire [1 : 0] m_axi_rlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RVALID [0:0] [1:1]" *) +input wire [1 : 0] m_axi_rvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RREADY [0:0] [1:1]" *) +output wire [1 : 0] m_axi_rready; + + axi_crossbar_v2_1_10_axi_crossbar #( + .C_FAMILY("zynq"), + .C_NUM_SLAVE_SLOTS(1), + .C_NUM_MASTER_SLOTS(2), + .C_AXI_ID_WIDTH(12), + .C_AXI_ADDR_WIDTH(32), + .C_AXI_DATA_WIDTH(32), + .C_AXI_PROTOCOL(0), + .C_NUM_ADDR_RANGES(1), + .C_M_AXI_BASE_ADDR(128'Hffffffffffffffff0000000080000000), + .C_M_AXI_ADDR_WIDTH(64'H0000000000000010), + .C_S_AXI_BASE_ID(32'H00000000), + .C_S_AXI_THREAD_ID_WIDTH(32'H0000000c), + .C_AXI_SUPPORTS_USER_SIGNALS(0), + .C_AXI_AWUSER_WIDTH(1), + .C_AXI_ARUSER_WIDTH(1), + .C_AXI_WUSER_WIDTH(1), + .C_AXI_RUSER_WIDTH(1), + .C_AXI_BUSER_WIDTH(1), + .C_M_AXI_WRITE_CONNECTIVITY(64'HFFFFFFFFFFFFFFFF), + .C_M_AXI_READ_CONNECTIVITY(64'HFFFFFFFFFFFFFFFF), + .C_R_REGISTER(0), + .C_S_AXI_SINGLE_THREAD(32'H00000000), + .C_S_AXI_WRITE_ACCEPTANCE(32'H00000008), + .C_S_AXI_READ_ACCEPTANCE(32'H00000008), + .C_M_AXI_WRITE_ISSUING(64'H0000000800000002), + .C_M_AXI_READ_ISSUING(64'H0000000800000002), + .C_S_AXI_ARB_PRIORITY(32'H00000000), + .C_M_AXI_SECURE(32'H00000000), + .C_CONNECTIVITY_MODE(1) + ) inst ( + .aclk(aclk), + .aresetn(aresetn), + .s_axi_awid(s_axi_awid), + .s_axi_awaddr(s_axi_awaddr), + .s_axi_awlen(s_axi_awlen), + .s_axi_awsize(s_axi_awsize), + .s_axi_awburst(s_axi_awburst), + .s_axi_awlock(s_axi_awlock), + .s_axi_awcache(s_axi_awcache), + .s_axi_awprot(s_axi_awprot), + .s_axi_awqos(s_axi_awqos), + .s_axi_awuser(1'H0), + .s_axi_awvalid(s_axi_awvalid), + .s_axi_awready(s_axi_awready), + .s_axi_wid(12'H000), + .s_axi_wdata(s_axi_wdata), + .s_axi_wstrb(s_axi_wstrb), + .s_axi_wlast(s_axi_wlast), + .s_axi_wuser(1'H0), + .s_axi_wvalid(s_axi_wvalid), + .s_axi_wready(s_axi_wready), + .s_axi_bid(s_axi_bid), + .s_axi_bresp(s_axi_bresp), + .s_axi_buser(), + .s_axi_bvalid(s_axi_bvalid), + .s_axi_bready(s_axi_bready), + .s_axi_arid(s_axi_arid), + .s_axi_araddr(s_axi_araddr), + .s_axi_arlen(s_axi_arlen), + .s_axi_arsize(s_axi_arsize), + .s_axi_arburst(s_axi_arburst), + .s_axi_arlock(s_axi_arlock), + .s_axi_arcache(s_axi_arcache), + .s_axi_arprot(s_axi_arprot), + .s_axi_arqos(s_axi_arqos), + .s_axi_aruser(1'H0), + .s_axi_arvalid(s_axi_arvalid), + .s_axi_arready(s_axi_arready), + .s_axi_rid(s_axi_rid), + .s_axi_rdata(s_axi_rdata), + .s_axi_rresp(s_axi_rresp), + .s_axi_rlast(s_axi_rlast), + .s_axi_ruser(), + .s_axi_rvalid(s_axi_rvalid), + .s_axi_rready(s_axi_rready), + .m_axi_awid(m_axi_awid), + .m_axi_awaddr(m_axi_awaddr), + .m_axi_awlen(m_axi_awlen), + .m_axi_awsize(m_axi_awsize), + .m_axi_awburst(m_axi_awburst), + .m_axi_awlock(m_axi_awlock), + .m_axi_awcache(m_axi_awcache), + .m_axi_awprot(m_axi_awprot), + .m_axi_awregion(m_axi_awregion), + .m_axi_awqos(m_axi_awqos), + .m_axi_awuser(), + .m_axi_awvalid(m_axi_awvalid), + .m_axi_awready(m_axi_awready), + .m_axi_wid(), + .m_axi_wdata(m_axi_wdata), + .m_axi_wstrb(m_axi_wstrb), + .m_axi_wlast(m_axi_wlast), + .m_axi_wuser(), + .m_axi_wvalid(m_axi_wvalid), + .m_axi_wready(m_axi_wready), + .m_axi_bid(m_axi_bid), + .m_axi_bresp(m_axi_bresp), + .m_axi_buser(2'H0), + .m_axi_bvalid(m_axi_bvalid), + .m_axi_bready(m_axi_bready), + .m_axi_arid(m_axi_arid), + .m_axi_araddr(m_axi_araddr), + .m_axi_arlen(m_axi_arlen), + .m_axi_arsize(m_axi_arsize), + .m_axi_arburst(m_axi_arburst), + .m_axi_arlock(m_axi_arlock), + .m_axi_arcache(m_axi_arcache), + .m_axi_arprot(m_axi_arprot), + .m_axi_arregion(m_axi_arregion), + .m_axi_arqos(m_axi_arqos), + .m_axi_aruser(), + .m_axi_arvalid(m_axi_arvalid), + .m_axi_arready(m_axi_arready), + .m_axi_rid(m_axi_rid), + .m_axi_rdata(m_axi_rdata), + .m_axi_rresp(m_axi_rresp), + .m_axi_rlast(m_axi_rlast), + .m_axi_ruser(2'H0), + .m_axi_rvalid(m_axi_rvalid), + .m_axi_rready(m_axi_rready) + ); +endmodule diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/synth/system_design_xbar_1.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/synth/system_design_xbar_1.v new file mode 100644 index 0000000000000000000000000000000000000000..5b980386963d668742946555289d4fb6fad132d3 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/synth/system_design_xbar_1.v @@ -0,0 +1,419 @@ +// (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +// DO NOT MODIFY THIS FILE. + + +// IP VLNV: xilinx.com:ip:axi_crossbar:2.1 +// IP Revision: 10 + +(* X_CORE_INFO = "axi_crossbar_v2_1_10_axi_crossbar,Vivado 2016.2" *) +(* CHECK_LICENSE_TYPE = "system_design_xbar_1,axi_crossbar_v2_1_10_axi_crossbar,{}" *) +(* CORE_GENERATION_INFO = "system_design_xbar_1,axi_crossbar_v2_1_10_axi_crossbar,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_crossbar,x_ipVersion=2.1,x_ipCoreRevision=10,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_NUM_SLAVE_SLOTS=1,C_NUM_MASTER_SLOTS=2,C_AXI_ID_WIDTH=12,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=32,C_AXI_PROTOCOL=0,C_NUM_ADDR_RANGES=1,C_M_AXI_BASE_ADDR=0xffffffffffffffff0000000080000000,C_M_AXI_ADDR_WIDTH=0x0000000000000010,C_S_AXI_BASE_ID=0x00000000,C_S_AXI_THREAD_\ +ID_WIDTH=0x0000000c,C_AXI_SUPPORTS_USER_SIGNALS=0,C_AXI_AWUSER_WIDTH=1,C_AXI_ARUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_M_AXI_WRITE_CONNECTIVITY=0xFFFFFFFFFFFFFFFF,C_M_AXI_READ_CONNECTIVITY=0xFFFFFFFFFFFFFFFF,C_R_REGISTER=0,C_S_AXI_SINGLE_THREAD=0x00000000,C_S_AXI_WRITE_ACCEPTANCE=0x00000008,C_S_AXI_READ_ACCEPTANCE=0x00000008,C_M_AXI_WRITE_ISSUING=0x0000000800000002,C_M_AXI_READ_ISSUING=0x0000000800000002,C_S_AXI_ARB_PRIORITY=0x00000000,C_M_AXI_SECURE=0x00000000\ +,C_CONNECTIVITY_MODE=1}" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) +module system_design_xbar_1 ( + aclk, + aresetn, + s_axi_awid, + s_axi_awaddr, + s_axi_awlen, + s_axi_awsize, + s_axi_awburst, + s_axi_awlock, + s_axi_awcache, + s_axi_awprot, + s_axi_awqos, + s_axi_awvalid, + s_axi_awready, + s_axi_wdata, + s_axi_wstrb, + s_axi_wlast, + s_axi_wvalid, + s_axi_wready, + s_axi_bid, + s_axi_bresp, + s_axi_bvalid, + s_axi_bready, + s_axi_arid, + s_axi_araddr, + s_axi_arlen, + s_axi_arsize, + s_axi_arburst, + s_axi_arlock, + s_axi_arcache, + s_axi_arprot, + s_axi_arqos, + s_axi_arvalid, + s_axi_arready, + s_axi_rid, + s_axi_rdata, + s_axi_rresp, + s_axi_rlast, + s_axi_rvalid, + s_axi_rready, + m_axi_awid, + m_axi_awaddr, + m_axi_awlen, + m_axi_awsize, + m_axi_awburst, + m_axi_awlock, + m_axi_awcache, + m_axi_awprot, + m_axi_awregion, + m_axi_awqos, + m_axi_awvalid, + m_axi_awready, + m_axi_wdata, + m_axi_wstrb, + m_axi_wlast, + m_axi_wvalid, + m_axi_wready, + m_axi_bid, + m_axi_bresp, + m_axi_bvalid, + m_axi_bready, + m_axi_arid, + m_axi_araddr, + m_axi_arlen, + m_axi_arsize, + m_axi_arburst, + m_axi_arlock, + m_axi_arcache, + m_axi_arprot, + m_axi_arregion, + m_axi_arqos, + m_axi_arvalid, + m_axi_arready, + m_axi_rid, + m_axi_rdata, + m_axi_rresp, + m_axi_rlast, + m_axi_rvalid, + m_axi_rready +); + +(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLKIF CLK" *) +input wire aclk; +(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RSTIF RST" *) +input wire aresetn; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWID" *) +input wire [11 : 0] s_axi_awid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWADDR" *) +input wire [31 : 0] s_axi_awaddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWLEN" *) +input wire [7 : 0] s_axi_awlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWSIZE" *) +input wire [2 : 0] s_axi_awsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWBURST" *) +input wire [1 : 0] s_axi_awburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWLOCK" *) +input wire [0 : 0] s_axi_awlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWCACHE" *) +input wire [3 : 0] s_axi_awcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWPROT" *) +input wire [2 : 0] s_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWQOS" *) +input wire [3 : 0] s_axi_awqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWVALID" *) +input wire [0 : 0] s_axi_awvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWREADY" *) +output wire [0 : 0] s_axi_awready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WDATA" *) +input wire [31 : 0] s_axi_wdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WSTRB" *) +input wire [3 : 0] s_axi_wstrb; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WLAST" *) +input wire [0 : 0] s_axi_wlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WVALID" *) +input wire [0 : 0] s_axi_wvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WREADY" *) +output wire [0 : 0] s_axi_wready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BID" *) +output wire [11 : 0] s_axi_bid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BRESP" *) +output wire [1 : 0] s_axi_bresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BVALID" *) +output wire [0 : 0] s_axi_bvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BREADY" *) +input wire [0 : 0] s_axi_bready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARID" *) +input wire [11 : 0] s_axi_arid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARADDR" *) +input wire [31 : 0] s_axi_araddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARLEN" *) +input wire [7 : 0] s_axi_arlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARSIZE" *) +input wire [2 : 0] s_axi_arsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARBURST" *) +input wire [1 : 0] s_axi_arburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARLOCK" *) +input wire [0 : 0] s_axi_arlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARCACHE" *) +input wire [3 : 0] s_axi_arcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARPROT" *) +input wire [2 : 0] s_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARQOS" *) +input wire [3 : 0] s_axi_arqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARVALID" *) +input wire [0 : 0] s_axi_arvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARREADY" *) +output wire [0 : 0] s_axi_arready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RID" *) +output wire [11 : 0] s_axi_rid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RDATA" *) +output wire [31 : 0] s_axi_rdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RRESP" *) +output wire [1 : 0] s_axi_rresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RLAST" *) +output wire [0 : 0] s_axi_rlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RVALID" *) +output wire [0 : 0] s_axi_rvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RREADY" *) +input wire [0 : 0] s_axi_rready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI AWID [11:0] [23:12]" *) +output wire [23 : 0] m_axi_awid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWADDR [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI AWADDR [31:0] [63:32]" *) +output wire [63 : 0] m_axi_awaddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWLEN [7:0] [7:0], xilinx.com:interface:aximm:1.0 M01_AXI AWLEN [7:0] [15:8]" *) +output wire [15 : 0] m_axi_awlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWSIZE [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI AWSIZE [2:0] [5:3]" *) +output wire [5 : 0] m_axi_awsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWBURST [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI AWBURST [1:0] [3:2]" *) +output wire [3 : 0] m_axi_awburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWLOCK [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWLOCK [0:0] [1:1]" *) +output wire [1 : 0] m_axi_awlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWCACHE [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWCACHE [3:0] [7:4]" *) +output wire [7 : 0] m_axi_awcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWPROT [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI AWPROT [2:0] [5:3]" *) +output wire [5 : 0] m_axi_awprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWREGION [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWREGION [3:0] [7:4]" *) +output wire [7 : 0] m_axi_awregion; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWQOS [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWQOS [3:0] [7:4]" *) +output wire [7 : 0] m_axi_awqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWVALID [0:0] [1:1]" *) +output wire [1 : 0] m_axi_awvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWREADY [0:0] [1:1]" *) +input wire [1 : 0] m_axi_awready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WDATA [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI WDATA [31:0] [63:32]" *) +output wire [63 : 0] m_axi_wdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WSTRB [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI WSTRB [3:0] [7:4]" *) +output wire [7 : 0] m_axi_wstrb; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WLAST [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WLAST [0:0] [1:1]" *) +output wire [1 : 0] m_axi_wlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WVALID [0:0] [1:1]" *) +output wire [1 : 0] m_axi_wvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WREADY [0:0] [1:1]" *) +input wire [1 : 0] m_axi_wready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI BID [11:0] [23:12]" *) +input wire [23 : 0] m_axi_bid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BRESP [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI BRESP [1:0] [3:2]" *) +input wire [3 : 0] m_axi_bresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI BVALID [0:0] [1:1]" *) +input wire [1 : 0] m_axi_bvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI BREADY [0:0] [1:1]" *) +output wire [1 : 0] m_axi_bready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI ARID [11:0] [23:12]" *) +output wire [23 : 0] m_axi_arid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARADDR [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI ARADDR [31:0] [63:32]" *) +output wire [63 : 0] m_axi_araddr; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARLEN [7:0] [7:0], xilinx.com:interface:aximm:1.0 M01_AXI ARLEN [7:0] [15:8]" *) +output wire [15 : 0] m_axi_arlen; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARSIZE [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI ARSIZE [2:0] [5:3]" *) +output wire [5 : 0] m_axi_arsize; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARBURST [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI ARBURST [1:0] [3:2]" *) +output wire [3 : 0] m_axi_arburst; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARLOCK [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARLOCK [0:0] [1:1]" *) +output wire [1 : 0] m_axi_arlock; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARCACHE [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARCACHE [3:0] [7:4]" *) +output wire [7 : 0] m_axi_arcache; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARPROT [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI ARPROT [2:0] [5:3]" *) +output wire [5 : 0] m_axi_arprot; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARREGION [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARREGION [3:0] [7:4]" *) +output wire [7 : 0] m_axi_arregion; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARQOS [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARQOS [3:0] [7:4]" *) +output wire [7 : 0] m_axi_arqos; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARVALID [0:0] [1:1]" *) +output wire [1 : 0] m_axi_arvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARREADY [0:0] [1:1]" *) +input wire [1 : 0] m_axi_arready; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI RID [11:0] [23:12]" *) +input wire [23 : 0] m_axi_rid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RDATA [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI RDATA [31:0] [63:32]" *) +input wire [63 : 0] m_axi_rdata; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RRESP [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI RRESP [1:0] [3:2]" *) +input wire [3 : 0] m_axi_rresp; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RLAST [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RLAST [0:0] [1:1]" *) +input wire [1 : 0] m_axi_rlast; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RVALID [0:0] [1:1]" *) +input wire [1 : 0] m_axi_rvalid; +(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RREADY [0:0] [1:1]" *) +output wire [1 : 0] m_axi_rready; + + axi_crossbar_v2_1_10_axi_crossbar #( + .C_FAMILY("zynq"), + .C_NUM_SLAVE_SLOTS(1), + .C_NUM_MASTER_SLOTS(2), + .C_AXI_ID_WIDTH(12), + .C_AXI_ADDR_WIDTH(32), + .C_AXI_DATA_WIDTH(32), + .C_AXI_PROTOCOL(0), + .C_NUM_ADDR_RANGES(1), + .C_M_AXI_BASE_ADDR(128'Hffffffffffffffff0000000080000000), + .C_M_AXI_ADDR_WIDTH(64'H0000000000000010), + .C_S_AXI_BASE_ID(32'H00000000), + .C_S_AXI_THREAD_ID_WIDTH(32'H0000000c), + .C_AXI_SUPPORTS_USER_SIGNALS(0), + .C_AXI_AWUSER_WIDTH(1), + .C_AXI_ARUSER_WIDTH(1), + .C_AXI_WUSER_WIDTH(1), + .C_AXI_RUSER_WIDTH(1), + .C_AXI_BUSER_WIDTH(1), + .C_M_AXI_WRITE_CONNECTIVITY(64'HFFFFFFFFFFFFFFFF), + .C_M_AXI_READ_CONNECTIVITY(64'HFFFFFFFFFFFFFFFF), + .C_R_REGISTER(0), + .C_S_AXI_SINGLE_THREAD(32'H00000000), + .C_S_AXI_WRITE_ACCEPTANCE(32'H00000008), + .C_S_AXI_READ_ACCEPTANCE(32'H00000008), + .C_M_AXI_WRITE_ISSUING(64'H0000000800000002), + .C_M_AXI_READ_ISSUING(64'H0000000800000002), + .C_S_AXI_ARB_PRIORITY(32'H00000000), + .C_M_AXI_SECURE(32'H00000000), + .C_CONNECTIVITY_MODE(1) + ) inst ( + .aclk(aclk), + .aresetn(aresetn), + .s_axi_awid(s_axi_awid), + .s_axi_awaddr(s_axi_awaddr), + .s_axi_awlen(s_axi_awlen), + .s_axi_awsize(s_axi_awsize), + .s_axi_awburst(s_axi_awburst), + .s_axi_awlock(s_axi_awlock), + .s_axi_awcache(s_axi_awcache), + .s_axi_awprot(s_axi_awprot), + .s_axi_awqos(s_axi_awqos), + .s_axi_awuser(1'H0), + .s_axi_awvalid(s_axi_awvalid), + .s_axi_awready(s_axi_awready), + .s_axi_wid(12'H000), + .s_axi_wdata(s_axi_wdata), + .s_axi_wstrb(s_axi_wstrb), + .s_axi_wlast(s_axi_wlast), + .s_axi_wuser(1'H0), + .s_axi_wvalid(s_axi_wvalid), + .s_axi_wready(s_axi_wready), + .s_axi_bid(s_axi_bid), + .s_axi_bresp(s_axi_bresp), + .s_axi_buser(), + .s_axi_bvalid(s_axi_bvalid), + .s_axi_bready(s_axi_bready), + .s_axi_arid(s_axi_arid), + .s_axi_araddr(s_axi_araddr), + .s_axi_arlen(s_axi_arlen), + .s_axi_arsize(s_axi_arsize), + .s_axi_arburst(s_axi_arburst), + .s_axi_arlock(s_axi_arlock), + .s_axi_arcache(s_axi_arcache), + .s_axi_arprot(s_axi_arprot), + .s_axi_arqos(s_axi_arqos), + .s_axi_aruser(1'H0), + .s_axi_arvalid(s_axi_arvalid), + .s_axi_arready(s_axi_arready), + .s_axi_rid(s_axi_rid), + .s_axi_rdata(s_axi_rdata), + .s_axi_rresp(s_axi_rresp), + .s_axi_rlast(s_axi_rlast), + .s_axi_ruser(), + .s_axi_rvalid(s_axi_rvalid), + .s_axi_rready(s_axi_rready), + .m_axi_awid(m_axi_awid), + .m_axi_awaddr(m_axi_awaddr), + .m_axi_awlen(m_axi_awlen), + .m_axi_awsize(m_axi_awsize), + .m_axi_awburst(m_axi_awburst), + .m_axi_awlock(m_axi_awlock), + .m_axi_awcache(m_axi_awcache), + .m_axi_awprot(m_axi_awprot), + .m_axi_awregion(m_axi_awregion), + .m_axi_awqos(m_axi_awqos), + .m_axi_awuser(), + .m_axi_awvalid(m_axi_awvalid), + .m_axi_awready(m_axi_awready), + .m_axi_wid(), + .m_axi_wdata(m_axi_wdata), + .m_axi_wstrb(m_axi_wstrb), + .m_axi_wlast(m_axi_wlast), + .m_axi_wuser(), + .m_axi_wvalid(m_axi_wvalid), + .m_axi_wready(m_axi_wready), + .m_axi_bid(m_axi_bid), + .m_axi_bresp(m_axi_bresp), + .m_axi_buser(2'H0), + .m_axi_bvalid(m_axi_bvalid), + .m_axi_bready(m_axi_bready), + .m_axi_arid(m_axi_arid), + .m_axi_araddr(m_axi_araddr), + .m_axi_arlen(m_axi_arlen), + .m_axi_arsize(m_axi_arsize), + .m_axi_arburst(m_axi_arburst), + .m_axi_arlock(m_axi_arlock), + .m_axi_arcache(m_axi_arcache), + .m_axi_arprot(m_axi_arprot), + .m_axi_arregion(m_axi_arregion), + .m_axi_arqos(m_axi_arqos), + .m_axi_aruser(), + .m_axi_arvalid(m_axi_arvalid), + .m_axi_arready(m_axi_arready), + .m_axi_rid(m_axi_rid), + .m_axi_rdata(m_axi_rdata), + .m_axi_rresp(m_axi_rresp), + .m_axi_rlast(m_axi_rlast), + .m_axi_ruser(2'H0), + .m_axi_rvalid(m_axi_rvalid), + .m_axi_rready(m_axi_rready) + ); +endmodule diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.dcp b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.dcp new file mode 100644 index 0000000000000000000000000000000000000000..b78361a548e5d2e95a11b8caffd363f71684507c Binary files /dev/null and b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.dcp differ diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.xci new file mode 100644 index 0000000000000000000000000000000000000000..c5b137f1ba691d16234bd8576cff690ff7bdc3c0 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.xci @@ -0,0 +1,1430 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>xci</spirit:library> + <spirit:name>unknown</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:componentInstances> + <spirit:componentInstance> + <spirit:instanceName>system_design_xbar_1</spirit:instanceName> + <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="axi_crossbar" spirit:version="2.1"/> + <spirit:configurableElementValues> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLKIF.ASSOCIATED_BUSIF">M00_AXI:M01_AXI:M02_AXI:M03_AXI:M04_AXI:M05_AXI:M06_AXI:M07_AXI:M08_AXI:M09_AXI:M10_AXI:M11_AXI:M12_AXI:M13_AXI:M14_AXI:M15_AXI:S00_AXI:S01_AXI:S02_AXI:S03_AXI:S04_AXI:S05_AXI:S06_AXI:S07_AXI:S08_AXI:S09_AXI:S10_AXI:S11_AXI:S12_AXI:S13_AXI:S14_AXI:S15_AXI</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLKIF.ASSOCIATED_RESET">ARESETN</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLKIF.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLKIF.FREQ_HZ">62500000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLKIF.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.BUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.FREQ_HZ">62500000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_BRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_BURST">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_CACHE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_LOCK">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_PROT">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_QOS">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_REGION">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_RRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_WSTRB">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.MAX_BURST_LENGTH">16</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.PROTOCOL">AXI4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.RUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M00_AXI.WUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.BUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.FREQ_HZ">62500000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_BRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_BURST">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_CACHE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_LOCK">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_PROT">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_QOS">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_REGION">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_RRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_WSTRB">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.MAX_BURST_LENGTH">16</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.NUM_READ_OUTSTANDING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.NUM_WRITE_OUTSTANDING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.PROTOCOL">AXI4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.RUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M01_AXI.WUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RSTIF.POLARITY">ACTIVE_LOW</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RSTIF.TYPE">INTERCONNECT</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.BUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.FREQ_HZ">62500000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BURST">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_CACHE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_LOCK">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_PROT">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_QOS">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_REGION">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_RRESP">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_WSTRB">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.MAX_BURST_LENGTH">256</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_READ_OUTSTANDING">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.NUM_WRITE_OUTSTANDING">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.PROTOCOL">AXI4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.RUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S00_AXI.WUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_BUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_PROTOCOL">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_RUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_WUSER_WIDTH">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONNECTIVITY_MODE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_ADDR_WIDTH">0x0000000000000010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_BASE_ADDR">0xffffffffffffffff0000000080000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_READ_CONNECTIVITY">0xFFFFFFFFFFFFFFFF</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_READ_ISSUING">0x0000000800000002</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_SECURE">0x00000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_WRITE_CONNECTIVITY">0xFFFFFFFFFFFFFFFF</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXI_WRITE_ISSUING">0x0000000800000002</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_ADDR_RANGES">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_MASTER_SLOTS">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_R_REGISTER">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_ARB_PRIORITY">0x00000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_BASE_ID">0x00000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_READ_ACCEPTANCE">0x00000008</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_SINGLE_THREAD">0x00000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_THREAD_ID_WIDTH">0x0000000c</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_WRITE_ACCEPTANCE">0x00000008</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDR_RANGES">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDR_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ARUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AWUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CONNECTIVITY_MODE">SAMD</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">system_design_xbar_1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_WIDTH">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A00_ADDR_WIDTH">16</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A00_BASE_ADDR">0x0000000080000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_READ_ISSUING">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M00_WRITE_ISSUING">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_READ_ISSUING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M01_WRITE_ISSUING">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M02_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M03_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M04_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M05_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M06_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M07_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M08_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M09_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M10_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M11_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M12_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M13_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M14_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A00_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A00_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A01_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A01_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A02_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A02_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A03_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A03_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A04_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A04_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A05_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A05_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A06_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A06_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A07_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A07_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A08_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A08_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A09_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A09_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A10_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A10_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A11_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A11_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A12_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A12_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A13_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A13_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A14_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A14_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A15_ADDR_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_A15_BASE_ADDR">0xffffffffffffffff</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_ERR_MODE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_READ_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S00_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S00_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S01_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S01_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S02_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S02_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S03_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S03_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S04_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S04_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S05_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S05_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S06_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S06_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S07_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S07_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S08_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S08_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S09_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S09_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S10_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S10_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S11_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S11_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S12_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S12_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S13_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S13_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S14_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S14_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S15_READ_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_S15_WRITE_CONNECTIVITY">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_SECURE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M15_WRITE_ISSUING">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_MI">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_SI">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROTOCOL">AXI4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.R_REGISTER">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S00_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S00_BASE_ID">0x00000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S00_READ_ACCEPTANCE">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S00_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S00_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S00_WRITE_ACCEPTANCE">8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S01_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S01_BASE_ID">0x00001000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S01_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S01_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S01_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S01_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S02_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S02_BASE_ID">0x00002000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S02_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S02_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S02_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S02_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S03_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S03_BASE_ID">0x00003000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S03_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S03_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S03_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S03_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S04_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S04_BASE_ID">0x00004000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S04_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S04_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S04_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S04_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S05_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S05_BASE_ID">0x00005000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S05_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S05_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S05_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S05_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S06_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S06_BASE_ID">0x00006000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S06_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S06_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S06_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S06_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S07_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S07_BASE_ID">0x00007000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S07_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S07_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S07_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S07_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S08_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S08_BASE_ID">0x00008000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S08_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S08_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S08_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S08_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S09_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S09_BASE_ID">0x00009000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S09_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S09_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S09_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S09_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S10_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S10_BASE_ID">0x0000a000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S10_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S10_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S10_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S10_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S11_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S11_BASE_ID">0x0000b000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S11_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S11_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S11_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S11_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S12_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S12_BASE_ID">0x0000c000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S12_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S12_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S12_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S12_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S13_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S13_BASE_ID">0x0000d000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S13_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S13_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S13_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S13_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S14_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S14_BASE_ID">0x0000e000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S14_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S14_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S14_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S14_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S15_ARB_PRIORITY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S15_BASE_ID">0x0000f000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S15_READ_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S15_SINGLE_THREAD">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S15_THREAD_ID_WIDTH">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S15_WRITE_ACCEPTANCE">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.STRATEGY">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WUSER_WIDTH">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z030</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg676</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VHDL</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">C</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Integrator</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">10</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">../../ipshared</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2016.2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue> + </spirit:configurableElementValues> + <spirit:vendorExtensions> + <xilinx:componentInstanceExtensions> + <xilinx:configElementInfos> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLKIF.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLKIF.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.ADDR_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_BURST" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_CACHE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_LOCK" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_QOS" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_REGION" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_RRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_BURST" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_CACHE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_LOCK" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_QOS" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_REGION" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_RRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.RSTIF.POLARITY" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.AWUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.BUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_REGION" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_RRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.RUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.WUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ADDR_RANGES" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ADDR_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ARUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.AWUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.BUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.DATA_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_A00_ADDR_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_A00_BASE_ADDR" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_READ_ISSUING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_S00_READ_CONNECTIVITY" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_S00_WRITE_CONNECTIVITY" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_WRITE_ISSUING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M01_READ_ISSUING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M01_WRITE_ISSUING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.NUM_MI" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.NUM_SI" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.PROTOCOL" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.S00_READ_ACCEPTANCE" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.S00_THREAD_ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.S00_WRITE_ACCEPTANCE" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.STRATEGY" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.WUSER_WIDTH" xilinx:valueSource="propagated"/> + </xilinx:configElementInfos> + </xilinx:componentInstanceExtensions> + </spirit:vendorExtensions> + </spirit:componentInstance> + </spirit:componentInstances> +</spirit:design> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.xml new file mode 100644 index 0000000000000000000000000000000000000000..5efeffef3846e48e0dea7a811c2de45fcb355a4a --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1.xml @@ -0,0 +1,35443 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>customized_ip</spirit:library> + <spirit:name>system_design_xbar_1</spirit:name> + <spirit:version>1.0</spirit:version> + <spirit:busInterfaces> + <spirit:busInterface> + <spirit:name>RSTIF</spirit:name> + <spirit:displayName>RSTIF</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>aresetn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>POLARITY</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.RSTIF.POLARITY">ACTIVE_LOW</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>TYPE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.RSTIF.TYPE">INTERCONNECT</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>CLKIF</spirit:name> + <spirit:displayName>CLKIF</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>aclk</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:displayName>aclk frequency</spirit:displayName> + <spirit:description>aclk frequency</spirit:description> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.CLKIF.FREQ_HZ" spirit:minimum="1" spirit:maximum="1000000000" spirit:rangeType="long">62500000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKIF.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKIF.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_BUSIF</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKIF.ASSOCIATED_BUSIF">M00_AXI:M01_AXI:M02_AXI:M03_AXI:M04_AXI:M05_AXI:M06_AXI:M07_AXI:M08_AXI:M09_AXI:M10_AXI:M11_AXI:M12_AXI:M13_AXI:M14_AXI:M15_AXI:S00_AXI:S01_AXI:S02_AXI:S03_AXI:S04_AXI:S05_AXI:S06_AXI:S07_AXI:S08_AXI:S09_AXI:S10_AXI:S11_AXI:S12_AXI:S13_AXI:S14_AXI:S15_AXI</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_RESET</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKIF.ASSOCIATED_RESET">ARESETN</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S00_AXI</spirit:name> + <spirit:displayName>S00_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>DATA_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.DATA_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PROTOCOL</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.PROTOCOL">AXI4</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.FREQ_HZ">62500000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ID_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.ID_WIDTH">12</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ADDR_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>AWUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.AWUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ARUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>WUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.WUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.RUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>BUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.BUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>READ_WRITE_MODE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.READ_WRITE_MODE">READ_WRITE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_BURST">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_LOCK</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_LOCK">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_PROT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_PROT">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_CACHE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_CACHE">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_QOS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_QOS">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_REGION</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_REGION">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_WSTRB</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_WSTRB">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_BRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_RRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.HAS_RRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SUPPORTS_NARROW_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.SUPPORTS_NARROW_BURST">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_READ_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.NUM_READ_OUTSTANDING">2</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.NUM_WRITE_OUTSTANDING">2</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MAX_BURST_LENGTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.MAX_BURST_LENGTH">256</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S00_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S00_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M00_AXI</spirit:name> + <spirit:displayName>M00_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((0 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>DATA_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.DATA_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PROTOCOL</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.PROTOCOL">AXI4</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.FREQ_HZ">62500000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ID_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.ID_WIDTH">12</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ADDR_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.ADDR_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>AWUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.AWUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ARUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.ARUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>WUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.WUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.RUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>BUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.BUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>READ_WRITE_MODE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.READ_WRITE_MODE">READ_WRITE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_BURST">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_LOCK</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_LOCK">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_PROT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_PROT">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_CACHE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_CACHE">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_QOS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_QOS">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_REGION</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_REGION">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_WSTRB</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_WSTRB">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_BRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_RRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.HAS_RRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SUPPORTS_NARROW_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.SUPPORTS_NARROW_BURST">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_READ_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.NUM_READ_OUTSTANDING">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.NUM_WRITE_OUTSTANDING">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MAX_BURST_LENGTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.MAX_BURST_LENGTH">16</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M00_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M00_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S01_AXI</spirit:name> + <spirit:displayName>S01_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S01_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 1)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M01_AXI</spirit:name> + <spirit:displayName>M01_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((1 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">1</spirit:left> + <spirit:right spirit:format="long">1</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>DATA_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.DATA_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PROTOCOL</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.PROTOCOL">AXI4</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>FREQ_HZ</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.FREQ_HZ">62500000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ID_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.ID_WIDTH">12</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ADDR_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.ADDR_WIDTH">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>AWUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.AWUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ARUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.ARUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>WUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.WUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.RUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>BUSER_WIDTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.BUSER_WIDTH">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>READ_WRITE_MODE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.READ_WRITE_MODE">READ_WRITE</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_BURST">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_LOCK</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_LOCK">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_PROT</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_PROT">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_CACHE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_CACHE">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_QOS</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_QOS">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_REGION</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_REGION">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_WSTRB</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_WSTRB">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_BRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_BRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>HAS_RRESP</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.HAS_RRESP">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>SUPPORTS_NARROW_BURST</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.SUPPORTS_NARROW_BURST">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_READ_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.NUM_READ_OUTSTANDING">8</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.NUM_WRITE_OUTSTANDING">8</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>MAX_BURST_LENGTH</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.MAX_BURST_LENGTH">16</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PHASE</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.PHASE">0.000</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CLK_DOMAIN</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.M01_AXI.CLK_DOMAIN">system_design_wrc_1p_kintex7_0_0_s00_axi_aclk_o</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M01_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 1)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S02_AXI</spirit:name> + <spirit:displayName>S02_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">35</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">64</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">35</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">64</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">35</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">35</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">64</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">35</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">64</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S02_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 2)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M02_AXI</spirit:name> + <spirit:displayName>M02_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">35</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">64</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">35</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">64</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">35</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">35</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">64</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">35</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">64</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">2</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((2 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">2</spirit:left> + <spirit:right spirit:format="long">2</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M02_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 2)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S03_AXI</spirit:name> + <spirit:displayName>S03_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">47</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">127</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">47</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">127</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">47</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">47</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">127</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">47</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">127</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S03_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 3)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M03_AXI</spirit:name> + <spirit:displayName>M03_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">47</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">127</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">47</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">127</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">47</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">47</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">127</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">47</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">127</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">3</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((3 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">3</spirit:left> + <spirit:right spirit:format="long">3</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M03_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 3)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S04_AXI</spirit:name> + <spirit:displayName>S04_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">59</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">159</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">128</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">39</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">4</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">4</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">59</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">159</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">128</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">19</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">4</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">59</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">4</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">59</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">159</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">128</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">39</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">4</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">4</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">59</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">159</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">128</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">4</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S04_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 4)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M04_AXI</spirit:name> + <spirit:displayName>M04_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">59</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">159</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">128</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">39</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">4</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">4</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">59</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">159</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">128</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">19</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">4</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">59</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">4</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">59</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">159</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">128</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">39</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">4</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">4</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">59</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">159</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">128</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">4</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((4 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">4</spirit:left> + <spirit:right spirit:format="long">4</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M04_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 4)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S05_AXI</spirit:name> + <spirit:displayName>S05_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">71</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">160</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">47</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">40</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">17</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">5</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">17</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">5</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">71</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">160</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">5</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">71</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">5</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">71</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">160</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">47</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">40</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">17</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">5</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">17</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">5</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">71</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">160</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">5</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S05_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 5)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M05_AXI</spirit:name> + <spirit:displayName>M05_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">71</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">160</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">47</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">40</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">17</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">5</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">17</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">5</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">71</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">160</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">23</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">5</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">71</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">5</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">71</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">160</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">47</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">40</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">17</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">5</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">17</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">5</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">71</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">160</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">5</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((5 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">5</spirit:left> + <spirit:right spirit:format="long">5</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M05_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 5)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S06_AXI</spirit:name> + <spirit:displayName>S06_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">83</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">72</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">223</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">192</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">55</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">20</spirit:left> + <spirit:right spirit:format="long">18</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">6</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">20</spirit:left> + <spirit:right spirit:format="long">18</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">6</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">83</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">72</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">223</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">192</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">27</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">6</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">83</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">72</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">6</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">83</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">72</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">223</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">192</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">55</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">20</spirit:left> + <spirit:right spirit:format="long">18</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">6</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">20</spirit:left> + <spirit:right spirit:format="long">18</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">6</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">83</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">72</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">223</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">192</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">6</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S06_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 6)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M06_AXI</spirit:name> + <spirit:displayName>M06_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">83</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">72</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">223</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">192</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">55</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">20</spirit:left> + <spirit:right spirit:format="long">18</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">6</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">20</spirit:left> + <spirit:right spirit:format="long">18</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">6</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">83</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">72</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">223</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">192</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">27</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">6</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">83</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">72</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">6</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">83</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">72</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">223</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">192</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">55</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">20</spirit:left> + <spirit:right spirit:format="long">18</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">6</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">20</spirit:left> + <spirit:right spirit:format="long">18</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">6</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">83</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">72</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">223</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">192</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">6</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((6 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">6</spirit:left> + <spirit:right spirit:format="long">6</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M06_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 6)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S07_AXI</spirit:name> + <spirit:displayName>S07_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">84</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">255</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">224</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">56</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">21</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">21</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">84</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">255</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">224</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">84</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">84</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">255</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">224</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">56</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">21</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">21</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">84</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">255</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">224</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S07_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 7)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M07_AXI</spirit:name> + <spirit:displayName>M07_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">84</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">255</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">224</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">56</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">21</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">21</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">84</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">255</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">224</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">31</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">84</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">84</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">255</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">224</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">56</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">21</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">21</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">84</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">255</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">224</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">7</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((7 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">7</spirit:left> + <spirit:right spirit:format="long">7</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M07_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 7)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S08_AXI</spirit:name> + <spirit:displayName>S08_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">107</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">287</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">256</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">71</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">64</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">26</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">17</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">8</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">26</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">8</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">107</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">287</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">256</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">35</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">8</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">107</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">17</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">8</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">107</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">287</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">256</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">71</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">64</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">26</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">17</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">8</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">26</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">8</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">107</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">287</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">256</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">17</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">8</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S08_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 8)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M08_AXI</spirit:name> + <spirit:displayName>M08_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">107</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">287</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">256</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">71</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">64</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">26</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">17</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">8</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">26</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">8</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">107</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">287</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">256</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">35</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">8</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">107</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">17</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">8</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">107</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">287</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">256</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">71</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">64</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">26</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">17</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">8</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">26</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">32</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">8</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">107</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">287</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">256</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">17</spirit:left> + <spirit:right spirit:format="long">16</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">8</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((8 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">8</spirit:left> + <spirit:right spirit:format="long">8</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M08_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 8)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S09_AXI</spirit:name> + <spirit:displayName>S09_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">119</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">108</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">319</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">288</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">79</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">72</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">29</spirit:left> + <spirit:right spirit:format="long">27</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">18</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">9</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">39</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">29</spirit:left> + <spirit:right spirit:format="long">27</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">39</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">9</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">119</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">108</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">319</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">288</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">39</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">9</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">119</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">108</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">18</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">9</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">119</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">108</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">319</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">288</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">79</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">72</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">29</spirit:left> + <spirit:right spirit:format="long">27</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">18</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">9</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">39</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">29</spirit:left> + <spirit:right spirit:format="long">27</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">39</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">9</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">119</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">108</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">319</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">288</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">18</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">9</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S09_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 9)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M09_AXI</spirit:name> + <spirit:displayName>M09_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">119</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">108</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">319</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">288</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">79</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">72</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">29</spirit:left> + <spirit:right spirit:format="long">27</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">18</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">9</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">39</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">29</spirit:left> + <spirit:right spirit:format="long">27</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">39</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">39</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">9</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">119</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">108</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">319</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">288</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">39</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">9</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">119</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">108</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">18</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">9</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">119</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">108</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">319</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">288</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">79</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">72</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">29</spirit:left> + <spirit:right spirit:format="long">27</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">18</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">9</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">39</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">29</spirit:left> + <spirit:right spirit:format="long">27</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">39</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">39</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">9</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">119</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">108</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">319</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">288</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">19</spirit:left> + <spirit:right spirit:format="long">18</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">9</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((9 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">9</spirit:left> + <spirit:right spirit:format="long">9</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M09_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 9)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S10_AXI</spirit:name> + <spirit:displayName>S10_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">131</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">120</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">351</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">320</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">87</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">80</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">32</spirit:left> + <spirit:right spirit:format="long">30</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">21</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">10</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">43</spirit:left> + <spirit:right spirit:format="long">40</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">32</spirit:left> + <spirit:right spirit:format="long">30</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">43</spirit:left> + <spirit:right spirit:format="long">40</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">10</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">131</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">120</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">351</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">320</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">43</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">40</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">10</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">131</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">120</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">21</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">10</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">131</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">120</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">351</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">320</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">87</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">80</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">32</spirit:left> + <spirit:right spirit:format="long">30</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">21</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">10</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">43</spirit:left> + <spirit:right spirit:format="long">40</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">32</spirit:left> + <spirit:right spirit:format="long">30</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">43</spirit:left> + <spirit:right spirit:format="long">40</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">10</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">131</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">120</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">351</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">320</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">21</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">10</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S10_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 10)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M10_AXI</spirit:name> + <spirit:displayName>M10_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">131</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">120</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">351</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">320</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">87</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">80</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">32</spirit:left> + <spirit:right spirit:format="long">30</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">21</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">10</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">43</spirit:left> + <spirit:right spirit:format="long">40</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">32</spirit:left> + <spirit:right spirit:format="long">30</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">43</spirit:left> + <spirit:right spirit:format="long">40</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">43</spirit:left> + <spirit:right spirit:format="long">40</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">10</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">131</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">120</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">351</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">320</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">43</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">40</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">10</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">131</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">120</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">21</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">10</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">131</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">120</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">351</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">320</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">87</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">80</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">32</spirit:left> + <spirit:right spirit:format="long">30</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">21</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">10</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">43</spirit:left> + <spirit:right spirit:format="long">40</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">32</spirit:left> + <spirit:right spirit:format="long">30</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">43</spirit:left> + <spirit:right spirit:format="long">40</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">43</spirit:left> + <spirit:right spirit:format="long">40</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">10</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">131</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">120</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">351</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">320</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">21</spirit:left> + <spirit:right spirit:format="long">20</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">10</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((10 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">10</spirit:left> + <spirit:right spirit:format="long">10</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M10_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 10)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S11_AXI</spirit:name> + <spirit:displayName>S11_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">143</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">132</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">383</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">352</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">88</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">33</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">22</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">44</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">33</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">44</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">143</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">132</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">383</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">352</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">47</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">44</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">143</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">132</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">22</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">143</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">132</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">383</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">352</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">88</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">33</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">22</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">44</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">33</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">44</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">143</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">132</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">383</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">352</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">22</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S11_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 11)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M11_AXI</spirit:name> + <spirit:displayName>M11_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">143</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">132</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">383</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">352</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">88</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">33</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">22</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">44</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">33</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">44</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">44</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">143</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">132</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">383</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">352</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">47</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">44</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">143</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">132</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">22</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">143</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">132</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">383</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">352</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">95</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">88</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">33</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">22</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">44</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">35</spirit:left> + <spirit:right spirit:format="long">33</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">44</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">44</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">143</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">132</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">383</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">352</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">23</spirit:left> + <spirit:right spirit:format="long">22</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((11 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">11</spirit:left> + <spirit:right spirit:format="long">11</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M11_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 11)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S12_AXI</spirit:name> + <spirit:displayName>S12_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">155</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">144</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">415</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">384</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">103</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">38</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">25</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">12</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">51</spirit:left> + <spirit:right spirit:format="long">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">38</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">51</spirit:left> + <spirit:right spirit:format="long">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">12</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">155</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">144</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">415</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">384</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">51</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">12</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">155</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">144</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">25</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">12</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">155</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">144</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">415</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">384</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">103</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">38</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">25</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">12</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">51</spirit:left> + <spirit:right spirit:format="long">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">38</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">51</spirit:left> + <spirit:right spirit:format="long">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">12</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">155</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">144</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">415</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">384</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">25</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">12</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S12_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 12)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M12_AXI</spirit:name> + <spirit:displayName>M12_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">155</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">144</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">415</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">384</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">103</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">38</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">25</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">12</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">51</spirit:left> + <spirit:right spirit:format="long">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">38</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">51</spirit:left> + <spirit:right spirit:format="long">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">51</spirit:left> + <spirit:right spirit:format="long">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">12</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">155</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">144</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">415</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">384</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">51</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">12</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">155</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">144</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">25</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">12</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">155</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">144</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">415</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">384</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">103</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">96</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">38</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">25</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">12</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">51</spirit:left> + <spirit:right spirit:format="long">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">38</spirit:left> + <spirit:right spirit:format="long">36</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">51</spirit:left> + <spirit:right spirit:format="long">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">51</spirit:left> + <spirit:right spirit:format="long">48</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">12</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">155</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">144</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">415</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">384</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">25</spirit:left> + <spirit:right spirit:format="long">24</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">12</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((12 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">12</spirit:left> + <spirit:right spirit:format="long">12</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M12_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 12)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S13_AXI</spirit:name> + <spirit:displayName>S13_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">167</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">156</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">447</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">416</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">111</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">104</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">41</spirit:left> + <spirit:right spirit:format="long">39</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">26</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">13</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">55</spirit:left> + <spirit:right spirit:format="long">52</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">41</spirit:left> + <spirit:right spirit:format="long">39</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">55</spirit:left> + <spirit:right spirit:format="long">52</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">13</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">167</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">156</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">447</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">416</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">55</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">52</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">13</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">167</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">156</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">26</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">13</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">167</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">156</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">447</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">416</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">111</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">104</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">41</spirit:left> + <spirit:right spirit:format="long">39</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">26</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">13</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">55</spirit:left> + <spirit:right spirit:format="long">52</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">41</spirit:left> + <spirit:right spirit:format="long">39</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">55</spirit:left> + <spirit:right spirit:format="long">52</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">13</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">167</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">156</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">447</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">416</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">26</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">13</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S13_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 13)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M13_AXI</spirit:name> + <spirit:displayName>M13_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">167</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">156</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">447</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">416</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">111</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">104</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">41</spirit:left> + <spirit:right spirit:format="long">39</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">26</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">13</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">55</spirit:left> + <spirit:right spirit:format="long">52</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">41</spirit:left> + <spirit:right spirit:format="long">39</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">55</spirit:left> + <spirit:right spirit:format="long">52</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">55</spirit:left> + <spirit:right spirit:format="long">52</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">13</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">167</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">156</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">447</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">416</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">55</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">52</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">13</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">167</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">156</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">26</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">13</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">167</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">156</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">447</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">416</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">111</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">104</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">41</spirit:left> + <spirit:right spirit:format="long">39</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">26</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">13</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">55</spirit:left> + <spirit:right spirit:format="long">52</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">41</spirit:left> + <spirit:right spirit:format="long">39</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">55</spirit:left> + <spirit:right spirit:format="long">52</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">55</spirit:left> + <spirit:right spirit:format="long">52</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">13</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">167</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">156</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">447</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">416</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">27</spirit:left> + <spirit:right spirit:format="long">26</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">13</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((13 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">13</spirit:left> + <spirit:right spirit:format="long">13</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M13_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 13)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S14_AXI</spirit:name> + <spirit:displayName>S14_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">179</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">168</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">479</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">448</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">119</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">112</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">44</spirit:left> + <spirit:right spirit:format="long">42</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">29</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">14</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">59</spirit:left> + <spirit:right spirit:format="long">56</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">44</spirit:left> + <spirit:right spirit:format="long">42</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">59</spirit:left> + <spirit:right spirit:format="long">56</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">14</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">179</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">168</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">479</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">448</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">59</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">56</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">14</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">179</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">168</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">29</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">14</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">179</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">168</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">479</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">448</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">119</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">112</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">44</spirit:left> + <spirit:right spirit:format="long">42</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">29</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">14</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">59</spirit:left> + <spirit:right spirit:format="long">56</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">44</spirit:left> + <spirit:right spirit:format="long">42</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">59</spirit:left> + <spirit:right spirit:format="long">56</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">14</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">179</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">168</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">479</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">448</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">29</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">14</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S14_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 14)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M14_AXI</spirit:name> + <spirit:displayName>M14_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">179</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">168</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">479</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">448</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">119</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">112</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">44</spirit:left> + <spirit:right spirit:format="long">42</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">29</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">14</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">59</spirit:left> + <spirit:right spirit:format="long">56</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">44</spirit:left> + <spirit:right spirit:format="long">42</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">59</spirit:left> + <spirit:right spirit:format="long">56</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">59</spirit:left> + <spirit:right spirit:format="long">56</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">14</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">179</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">168</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">479</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">448</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">59</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">56</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">14</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">179</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">168</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">29</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">14</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">179</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">168</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">479</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">448</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">119</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">112</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">44</spirit:left> + <spirit:right spirit:format="long">42</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">29</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">14</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">59</spirit:left> + <spirit:right spirit:format="long">56</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">44</spirit:left> + <spirit:right spirit:format="long">42</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">59</spirit:left> + <spirit:right spirit:format="long">56</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">59</spirit:left> + <spirit:right spirit:format="long">56</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">14</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">179</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">168</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">479</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">448</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">29</spirit:left> + <spirit:right spirit:format="long">28</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">14</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((14 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">14</spirit:left> + <spirit:right spirit:format="long">14</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M14_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 14)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S15_AXI</spirit:name> + <spirit:displayName>S15_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">180</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">511</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">480</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">127</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">120</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">45</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">30</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">63</spirit:left> + <spirit:right spirit:format="long">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">45</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">63</spirit:left> + <spirit:right spirit:format="long">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">180</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">511</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">480</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">180</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">30</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">180</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">511</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">480</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">127</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">120</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">45</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">30</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">63</spirit:left> + <spirit:right spirit:format="long">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">45</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">63</spirit:left> + <spirit:right spirit:format="long">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">180</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">511</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">480</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">30</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>s_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.S15_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) > 15)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M15_AXI</spirit:name> + <spirit:displayName>M15_AXI</spirit:displayName> + <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/> + <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/> + <spirit:master/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">180</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">511</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">480</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">127</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">120</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">45</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">30</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">63</spirit:left> + <spirit:right spirit:format="long">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">45</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">63</spirit:left> + <spirit:right spirit:format="long">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">63</spirit:left> + <spirit:right spirit:format="long">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1) + 1)">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>AWREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_awready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">180</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">511</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">480</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WSTRB</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">63</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="((((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1) + 1)">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1) + 1)">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>WREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_wready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">180</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">30</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_buser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1) + 1)">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>BREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_bready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">180</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">511</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1) + 1)">480</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLEN</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">127</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1) + 1)">120</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">45</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">30</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1) + 1)">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARCACHE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">63</spirit:left> + <spirit:right spirit:format="long">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">47</spirit:left> + <spirit:right spirit:format="long">45</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREGION</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">63</spirit:left> + <spirit:right spirit:format="long">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARQOS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">63</spirit:left> + <spirit:right spirit:format="long">60</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1) + 1)">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>ARREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_arready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">191</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1) + 1)">180</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">511</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1) + 1)">480</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">31</spirit:left> + <spirit:right spirit:format="long">30</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RLAST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((16 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">15</spirit:left> + <spirit:right spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((15 * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1) + 1)">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RVALID</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>m_axi_rready</spirit:name> + <spirit:vector> + <spirit:left spirit:format="long">15</spirit:left> + <spirit:right spirit:format="long">15</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:vendorExtensions> + <xilinx:busInterfaceInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.M15_AXI" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) > 15)">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:busInterfaceInfo> + </spirit:vendorExtensions> + </spirit:busInterface> + </spirit:busInterfaces> + <spirit:model> + <spirit:views> + <spirit:view> + <spirit:name>xilinx_verilogsynthesis</spirit:name> + <spirit:displayName>Verilog Synthesis</spirit:displayName> + <spirit:envIdentifier>verilogSource:vivado.xilinx.com:synthesis</spirit:envIdentifier> + <spirit:language>verilog</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_generic_baseblocks_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_axi_infrastructure_1_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_axi_register_slice_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_blk_mem_gen_8_3__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_fifo_generator_13_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_xilinx_com_ip_axi_data_fifo_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesis_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Thu Apr 13 07:58:15 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>efc301db</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5e2a75a0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_synthesisconstraints</spirit:name> + <spirit:displayName>Synthesis Constraints</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:synthesis.constraints</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_synthesisconstraints_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>efc301db</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5e2a75a0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_verilogsynthesiswrapper</spirit:name> + <spirit:displayName>Verilog Synthesis Wrapper</spirit:displayName> + <spirit:envIdentifier>verilogSource:vivado.xilinx.com:synthesis.wrapper</spirit:envIdentifier> + <spirit:language>verilog</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsynthesiswrapper_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>efc301db</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5e2a75a0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_verilogbehavioralsimulation</spirit:name> + <spirit:displayName>Verilog Simulation</spirit:displayName> + <spirit:envIdentifier>verilogSource:vivado.xilinx.com:simulation</spirit:envIdentifier> + <spirit:language>verilog</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_xilinx_com_ip_generic_baseblocks_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_infrastructure_1_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_register_slice_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_xilinx_com_ip_fifo_generator_13_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_data_fifo_2_1__ref_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogbehavioralsimulation_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Thu Apr 13 07:58:15 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>efc301db</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5748f939</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_verilogsimulationwrapper</spirit:name> + <spirit:displayName>Verilog Simulation Wrapper</spirit:displayName> + <spirit:envIdentifier>verilogSource:vivado.xilinx.com:simulation.wrapper</spirit:envIdentifier> + <spirit:language>verilog</spirit:language> + <spirit:fileSetRef> + <spirit:localName>xilinx_verilogsimulationwrapper_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:23:26 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>efc301db</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5748f939</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + <spirit:view> + <spirit:name>xilinx_externalfiles</spirit:name> + <spirit:displayName>External Files</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:external.files</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_externalfiles_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Mon Dec 18 10:25:31 UTC 2017</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRC</spirit:name> + <spirit:value>efc301db</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>boundaryCRCversion</spirit:name> + <spirit:value>1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRC</spirit:name> + <spirit:value>5e2a75a0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>customizationCRCversion</spirit:name> + <spirit:value>6</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> + </spirit:views> + <spirit:ports> + <spirit:port> + <spirit:name>aclk</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>aresetn</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')))){0}}" spirit:bitStringLength="1">0x000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awid" xilinx:dependency="( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awaddr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH')))){0}}" spirit:bitStringLength="32">0x00000000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awlen</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">7</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8))){0}}" spirit:bitStringLength="8">0x00</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awlen" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awsize</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 3) - 1)">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 3)){0}}" spirit:bitStringLength="3">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awsize" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awburst</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 2) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 2)){0}}" spirit:bitStringLength="2">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awburst" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awlock</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awlock" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awcache</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 4) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 4)){0}}" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awcache" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awprot</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 3) - 1)">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 3)){0}}" spirit:bitStringLength="3">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awqos</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 4) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 4)){0}}" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awqos" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awuser</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH')))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awuser" xilinx:dependency="( ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)) and (spirit:decode(id('PARAM_VALUE.AWUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS'))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awvalid" xilinx:dependency="(spirit:decode(id('PARAM_VALUE.NUM_SI')) > 0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_awready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')))){0}}" spirit:bitStringLength="1">0x000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wid" xilinx:dependency="( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wdata</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH')))){0}}" spirit:bitStringLength="32">0x00000000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wstrb</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8)){1}}" spirit:bitStringLength="4">0xF</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wlast</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS'))){1}}" spirit:bitStringLength="1">0x1</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wlast" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wuser</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH')))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wuser" xilinx:dependency="( ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)) and (spirit:decode(id('PARAM_VALUE.WUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS'))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wvalid" xilinx:dependency="(spirit:decode(id('PARAM_VALUE.NUM_SI')) > 0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_wready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_bid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bid" xilinx:dependency="( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_bresp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 2) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_buser</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_buser" xilinx:dependency="( ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)) and (spirit:decode(id('PARAM_VALUE.BUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_bvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bvalid" xilinx:dependency="(spirit:decode(id('PARAM_VALUE.NUM_SI')) > 0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_bready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS'))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')))){0}}" spirit:bitStringLength="1">0x000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arid" xilinx:dependency="( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_araddr</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH')))){0}}" spirit:bitStringLength="32">0x00000000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arlen</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">7</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8))){0}}" spirit:bitStringLength="8">0x00</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arlen" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arsize</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 3) - 1)">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 3)){0}}" spirit:bitStringLength="3">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arsize" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arburst</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 2) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 2)){0}}" spirit:bitStringLength="2">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arburst" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arlock</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arlock" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arcache</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 4) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 4)){0}}" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arcache" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arprot</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 3) - 1)">2</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 3)){0}}" spirit:bitStringLength="3">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arqos</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 4) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 4)){0}}" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arqos" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_aruser</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH')))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_aruser" xilinx:dependency="( ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)) and (spirit:decode(id('PARAM_VALUE.ARUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS'))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arvalid" xilinx:dependency="(spirit:decode(id('PARAM_VALUE.NUM_SI')) > 0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_arready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">11</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rid" xilinx:dependency="( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rdata</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">31</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rresp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * 2) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rlast</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rlast" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_ruser</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_ruser" xilinx:dependency="( ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)) and (spirit:decode(id('PARAM_VALUE.RUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rvalid" xilinx:dependency="(spirit:decode(id('PARAM_VALUE.NUM_SI')) > 0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>s_axi_rready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS')) - 1)">0</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS'))){0}}" spirit:bitStringLength="1">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awid" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) ) and (spirit:decode(id('PARAM_VALUE.CONNECTIVITY_MODE')) != "SASD") )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awaddr</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awlen</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">15</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awlen" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awsize</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * 3) - 1)">5</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awsize" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awburst</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * 2) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awburst" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awlock</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awlock" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awcache</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * 4) - 1)">7</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awcache" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awprot</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * 3) - 1)">5</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awregion</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * 4) - 1)">7</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awregion" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awqos</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * 4) - 1)">7</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awqos" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awuser</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awuser" xilinx:dependency="( ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)) and (spirit:decode(id('PARAM_VALUE.AWUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_awvalid" xilinx:dependency="(spirit:decode(id('PARAM_VALUE.NUM_SI')) > 0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_awready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS'))){0}}" spirit:bitStringLength="2">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wid" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) ) and (spirit:decode(id('PARAM_VALUE.CONNECTIVITY_MODE')) != "SASD") )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wdata</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wstrb</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) / 8) - 1)">7</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wlast</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wlast" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wuser</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_WUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wuser" xilinx:dependency="( ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)) and (spirit:decode(id('PARAM_VALUE.WUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_wvalid" xilinx:dependency="(spirit:decode(id('PARAM_VALUE.NUM_SI')) > 0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_wready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS'))){0}}" spirit:bitStringLength="2">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_bid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')))){0}}" spirit:bitStringLength="2">0x000000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_bid" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) ) and (spirit:decode(id('PARAM_VALUE.CONNECTIVITY_MODE')) != "SASD") )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_bresp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * 2) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * 2)){0}}" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_buser</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_BUSER_WIDTH')))){0}}" spirit:bitStringLength="2">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_buser" xilinx:dependency="( ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)) and (spirit:decode(id('PARAM_VALUE.BUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_bvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS'))){0}}" spirit:bitStringLength="2">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_bvalid" xilinx:dependency="(spirit:decode(id('PARAM_VALUE.NUM_SI')) > 0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_bready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arid" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) ) and (spirit:decode(id('PARAM_VALUE.CONNECTIVITY_MODE')) != "SASD") )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_araddr</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ADDR_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arlen</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 4 : 8)) - 1)">15</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arlen" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arsize</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * 3) - 1)">5</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arsize" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arburst</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * 2) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arburst" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arlock</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 1) ? 2 : 1)) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arlock" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arcache</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * 4) - 1)">7</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arcache" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arprot</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * 3) - 1)">5</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arregion</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * 4) - 1)">7</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arregion" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) = 0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arqos</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * 4) - 1)">7</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arqos" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_aruser</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_aruser" xilinx:dependency="( ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)) and (spirit:decode(id('PARAM_VALUE.ARUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arvalid</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_arvalid" xilinx:dependency="(spirit:decode(id('PARAM_VALUE.NUM_SI')) > 0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_arready</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS'))){0}}" spirit:bitStringLength="2">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH'))) - 1)">23</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_ID_WIDTH')))){0}}" spirit:bitStringLength="2">0x000000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_rid" xilinx:dependency="( ( (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2) and (spirit:decode(id('PARAM_VALUE.ID_WIDTH')) != 0) ) and (spirit:decode(id('PARAM_VALUE.CONNECTIVITY_MODE')) != "SASD") )">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rdata</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH'))) - 1)">63</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_DATA_WIDTH')))){0}}" spirit:bitStringLength="64">0x0000000000000000</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rresp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * 2) - 1)">3</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * 2)){0}}" spirit:bitStringLength="4">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rlast</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS'))){1}}" spirit:bitStringLength="2">0x3</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_rlast" xilinx:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_ruser</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH'))) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{((spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) * spirit:decode(id('MODELPARAM_VALUE.C_AXI_RUSER_WIDTH')))){0}}" spirit:bitStringLength="2">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_ruser" xilinx:dependency="( ((spirit:decode(id('MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS')) = 1) and (spirit:decode(id('MODELPARAM_VALUE.C_AXI_PROTOCOL')) != 2)) and (spirit:decode(id('PARAM_VALUE.RUSER_WIDTH')) != 0) )">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rvalid</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + <spirit:driver> + <spirit:defaultValue spirit:format="bitString" spirit:resolve="dependent" spirit:dependency="{(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS'))){0}}" spirit:bitStringLength="2">0x0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + <spirit:vendorExtensions> + <xilinx:portInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.m_axi_rvalid" xilinx:dependency="(spirit:decode(id('PARAM_VALUE.NUM_SI')) > 0)">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:portInfo> + </spirit:vendorExtensions> + </spirit:port> + <spirit:port> + <spirit:name>m_axi_rready</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id('MODELPARAM_VALUE.C_NUM_MASTER_SLOTS')) - 1)">1</spirit:left> + <spirit:right spirit:format="long">0</spirit:right> + </spirit:vector> + <spirit:wireTypeDefs> + <spirit:wireTypeDef> + <spirit:typeName>wire</spirit:typeName> + <spirit:viewNameRef>xilinx_verilogsynthesis</spirit:viewNameRef> + <spirit:viewNameRef>xilinx_verilogbehavioralsimulation</spirit:viewNameRef> + </spirit:wireTypeDef> + </spirit:wireTypeDefs> + </spirit:wire> + </spirit:port> + </spirit:ports> + <spirit:modelParameters> + <spirit:modelParameter xsi:type="spirit:nameValueTypeType" spirit:dataType="string"> + <spirit:name>C_FAMILY</spirit:name> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_NUM_SLAVE_SLOTS</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_NUM_SLAVE_SLOTS">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_NUM_MASTER_SLOTS</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_NUM_MASTER_SLOTS">2</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_ID_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_ID_WIDTH">12</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_ADDR_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_ADDR_WIDTH">32</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_DATA_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_DATA_WIDTH">32</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_PROTOCOL</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_PROTOCOL">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_NUM_ADDR_RANGES</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_NUM_ADDR_RANGES">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="std_logic_vector"> + <spirit:name>C_M_AXI_BASE_ADDR</spirit:name> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_BASE_ADDR" spirit:bitStringLength="128">0xffffffffffffffff0000000080000000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="std_logic_vector"> + <spirit:name>C_M_AXI_ADDR_WIDTH</spirit:name> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_ADDR_WIDTH" spirit:bitStringLength="64">0x0000000000000010</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_S_AXI_BASE_ID</spirit:name> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_BASE_ID" spirit:bitStringLength="32">0x00000000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_S_AXI_THREAD_ID_WIDTH</spirit:name> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_THREAD_ID_WIDTH" spirit:bitStringLength="32">0x0000000c</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_SUPPORTS_USER_SIGNALS</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_SUPPORTS_USER_SIGNALS">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_AWUSER_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_ARUSER_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_WUSER_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_WUSER_WIDTH">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_RUSER_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_RUSER_WIDTH">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_AXI_BUSER_WIDTH</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AXI_BUSER_WIDTH">1</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="std_logic_vector"> + <spirit:name>C_M_AXI_WRITE_CONNECTIVITY</spirit:name> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_WRITE_CONNECTIVITY" spirit:bitStringLength="64">0xFFFFFFFFFFFFFFFF</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="std_logic_vector"> + <spirit:name>C_M_AXI_READ_CONNECTIVITY</spirit:name> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_READ_CONNECTIVITY" spirit:bitStringLength="64">0xFFFFFFFFFFFFFFFF</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_R_REGISTER</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_R_REGISTER">0</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_S_AXI_SINGLE_THREAD</spirit:name> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_SINGLE_THREAD" spirit:bitStringLength="32">0x00000000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_S_AXI_WRITE_ACCEPTANCE</spirit:name> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_WRITE_ACCEPTANCE" spirit:bitStringLength="32">0x00000008</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_S_AXI_READ_ACCEPTANCE</spirit:name> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_READ_ACCEPTANCE" spirit:bitStringLength="32">0x00000008</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="std_logic_vector"> + <spirit:name>C_M_AXI_WRITE_ISSUING</spirit:name> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_WRITE_ISSUING" spirit:bitStringLength="64">0x0000000800000002</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="std_logic_vector"> + <spirit:name>C_M_AXI_READ_ISSUING</spirit:name> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_READ_ISSUING" spirit:bitStringLength="64">0x0000000800000002</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_S_AXI_ARB_PRIORITY</spirit:name> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_ARB_PRIORITY" spirit:bitStringLength="32">0x00000000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_M_AXI_SECURE</spirit:name> + <spirit:value spirit:format="bitString" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_AXI_SECURE" spirit:bitStringLength="32">0x00000000</spirit:value> + </spirit:modelParameter> + <spirit:modelParameter spirit:dataType="integer"> + <spirit:name>C_CONNECTIVITY_MODE</spirit:name> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CONNECTIVITY_MODE">1</spirit:value> + </spirit:modelParameter> + </spirit:modelParameters> + </spirit:model> + <spirit:choices> + <spirit:choice> + <spirit:name>choice_list_40181835</spirit:name> + <spirit:enumeration>32</spirit:enumeration> + <spirit:enumeration>64</spirit:enumeration> + <spirit:enumeration>128</spirit:enumeration> + <spirit:enumeration>256</spirit:enumeration> + <spirit:enumeration>512</spirit:enumeration> + <spirit:enumeration>1024</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_list_7235ff92</spirit:name> + <spirit:enumeration>AXI4</spirit:enumeration> + <spirit:enumeration>AXI3</spirit:enumeration> + <spirit:enumeration>AXI4LITE</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_12c5c5a3</spirit:name> + <spirit:enumeration spirit:text="None">0</spirit:enumeration> + <spirit:enumeration spirit:text="Full">1</spirit:enumeration> + <spirit:enumeration spirit:text="Light">7</spirit:enumeration> + <spirit:enumeration spirit:text="Automatic">8</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_37189c7b</spirit:name> + <spirit:enumeration spirit:text="No">0</spirit:enumeration> + <spirit:enumeration spirit:text="Yes">1</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_4873554b</spirit:name> + <spirit:enumeration spirit:text="false">0</spirit:enumeration> + <spirit:enumeration spirit:text="true">1</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_6c89085d</spirit:name> + <spirit:enumeration spirit:text="0_(Round_Robin)">0</spirit:enumeration> + <spirit:enumeration spirit:text="1">1</spirit:enumeration> + <spirit:enumeration spirit:text="2">2</spirit:enumeration> + <spirit:enumeration spirit:text="3">3</spirit:enumeration> + <spirit:enumeration spirit:text="4">4</spirit:enumeration> + <spirit:enumeration spirit:text="5">5</spirit:enumeration> + <spirit:enumeration spirit:text="6">6</spirit:enumeration> + <spirit:enumeration spirit:text="7">7</spirit:enumeration> + <spirit:enumeration spirit:text="8">8</spirit:enumeration> + <spirit:enumeration spirit:text="9">9</spirit:enumeration> + <spirit:enumeration spirit:text="10">10</spirit:enumeration> + <spirit:enumeration spirit:text="11">11</spirit:enumeration> + <spirit:enumeration spirit:text="12">12</spirit:enumeration> + <spirit:enumeration spirit:text="13">13</spirit:enumeration> + <spirit:enumeration spirit:text="14">14</spirit:enumeration> + <spirit:enumeration spirit:text="15_(Highest)">15</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_d73d287f</spirit:name> + <spirit:enumeration spirit:text="Shared-Access_(SASD)">SASD</spirit:enumeration> + <spirit:enumeration spirit:text="Crossbar_(SAMD)">SAMD</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_d9a0b468</spirit:name> + <spirit:enumeration spirit:text="None">0</spirit:enumeration> + <spirit:enumeration spirit:text="AXI4LITE">1</spirit:enumeration> + </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_dd3f402c</spirit:name> + <spirit:enumeration spirit:text="Current_Settings">0</spirit:enumeration> + <spirit:enumeration spirit:text="Minimize_Area">1</spirit:enumeration> + <spirit:enumeration spirit:text="Maximize_Performance">2</spirit:enumeration> + </spirit:choice> + </spirit:choices> + <spirit:fileSets> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_generic_baseblocks_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_and.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_latch_and.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_latch_or.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_or.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_command_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_mask_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_mask.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_mask_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_mask.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_mux_enc.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_mux.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_nto1_mux.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="generic_baseblocks" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="4e94621c"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_axi_infrastructure_1_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_0_header.vh</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:isIncludeFile>true</spirit:isIncludeFile> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_axi2vector.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_axic_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_vector2axi.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_infrastructure" xilinx:version="1.1" xilinx:isGenerated="true" xilinx:checksum="3d9dbc22"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_axi_register_slice_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_register_slice_v2_1/hdl/verilog/axi_register_slice_v2_1_axic_register_slice.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_register_slice_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_register_slice_v2_1/hdl/verilog/axi_register_slice_v2_1_axi_register_slice.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_register_slice_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_register_slice" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="da4b185e"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_blk_mem_gen_8_3__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/blk_mem_gen_v8_3/hdl/blk_mem_gen_v8_3_vhsyn_rfs.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>blk_mem_gen_v8_3_3</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/blk_mem_gen_v8_3/hdl/blk_mem_gen_v8_3.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>blk_mem_gen_v8_3_3</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="blk_mem_gen" xilinx:version="8.3" xilinx:isGenerated="true" xilinx:checksum="4a61723d"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_fifo_generator_13_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/fifo_generator_v13_1/hdl/fifo_generator_v13_1_vhsyn_rfs.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>fifo_generator_v13_1_1</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/fifo_generator_v13_1/hdl/fifo_generator_v13_1.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:logicalName>fifo_generator_v13_1_1</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="fifo_generator" xilinx:version="13.1" xilinx:isGenerated="true" xilinx:checksum="f2c57a43"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_xilinx_com_ip_axi_data_fifo_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_fifo_gen.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_reg_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_ndeep_srl.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axi_data_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_data_fifo" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="a5b90438"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesis_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_addr_arbiter_sasd.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_addr_arbiter.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_addr_decoder.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_arbiter_resp.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_crossbar_sasd.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_crossbar.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_decerr_slave.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_si_transactor.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_splitter.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_wdata_mux.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_wdata_router.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_axi_crossbar.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_synthesisconstraints_view_fileset</spirit:name> + <spirit:file> + <spirit:name>system_design_xbar_1_ooc.xdc</spirit:name> + <spirit:userFileType>xdc</spirit:userFileType> + <spirit:userFileType>USED_IN_implementation</spirit:userFileType> + <spirit:userFileType>USED_IN_out_of_context</spirit:userFileType> + <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsynthesiswrapper_view_fileset</spirit:name> + <spirit:file> + <spirit:name>synth/system_design_xbar_1.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_xilinx_com_ip_generic_baseblocks_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_and.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_latch_and.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_latch_or.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_or.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_command_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_mask_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_mask.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_mask_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_mask.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_sel.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_comparator.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_mux_enc.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_mux.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_nto1_mux.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>generic_baseblocks_v2_1_0</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="generic_baseblocks" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="4e94621c"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_infrastructure_1_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_0_header.vh</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:isIncludeFile>true</spirit:isIncludeFile> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_axi2vector.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_axic_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_infrastructure_v1_1/hdl/verilog/axi_infrastructure_v1_1_vector2axi.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_infrastructure_v1_1_0</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_infrastructure" xilinx:version="1.1" xilinx:isGenerated="true" xilinx:checksum="3d9dbc22"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_register_slice_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_register_slice_v2_1/hdl/verilog/axi_register_slice_v2_1_axic_register_slice.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_register_slice_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_register_slice_v2_1/hdl/verilog/axi_register_slice_v2_1_axi_register_slice.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_register_slice_v2_1_9</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_register_slice" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="da4b185e"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_xilinx_com_ip_fifo_generator_13_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/fifo_generator_v13_1/simulation/fifo_generator_vlog_beh.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>fifo_generator_v13_1_1</spirit:logicalName> + <spirit:exportedName>fifo_generator_vlog_beh</spirit:exportedName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/fifo_generator_v13_1/hdl/fifo_generator_v13_1_rfs.vhd</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>fifo_generator_v13_1_1</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/fifo_generator_v13_1/hdl/fifo_generator_v13_1_rfs.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>fifo_generator_v13_1_1</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="fifo_generator" xilinx:version="13.1" xilinx:isGenerated="true" xilinx:checksum="2bf3d781"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_xilinx_com_ip_axi_data_fifo_2_1__ref_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_fifo_gen.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_reg_srl_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_ndeep_srl.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axi_data_fifo.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_data_fifo_v2_1_8</spirit:logicalName> + </spirit:file> + <spirit:vendorExtensions> + <xilinx:subCoreRef> + <xilinx:componentRef xilinx:vendor="xilinx.com" xilinx:library="ip" xilinx:name="axi_data_fifo" xilinx:version="2.1" xilinx:isGenerated="true" xilinx:checksum="6a3f9594"> + <xilinx:mode xilinx:name="copy_mode"/> + </xilinx:componentRef> + </xilinx:subCoreRef> + </spirit:vendorExtensions> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogbehavioralsimulation_view_fileset</spirit:name> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_addr_arbiter_sasd.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_addr_arbiter.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_addr_decoder.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_arbiter_resp.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_crossbar_sasd.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_crossbar.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_decerr_slave.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_si_transactor.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_splitter.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_wdata_mux.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_wdata_router.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>../../ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_axi_crossbar.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType> + <spirit:logicalName>axi_crossbar_v2_1_10</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_verilogsimulationwrapper_view_fileset</spirit:name> + <spirit:file> + <spirit:name>sim/system_design_xbar_1.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_externalfiles_view_fileset</spirit:name> + <spirit:file> + <spirit:name>system_design_xbar_1.dcp</spirit:name> + <spirit:userFileType>dcp</spirit:userFileType> + <spirit:userFileType>USED_IN_implementation</spirit:userFileType> + <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_xbar_1_stub.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_xbar_1_stub.vhdl</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_xbar_1_sim_netlist.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_simulation</spirit:userFileType> + <spirit:userFileType>USED_IN_single_language</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>system_design_xbar_1_sim_netlist.vhdl</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_simulation</spirit:userFileType> + <spirit:userFileType>USED_IN_single_language</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> + </spirit:fileSets> + <spirit:description>The AXI Crossbar IP provides the infrastructure to connect multiple AXI4/AXI3/AXI4-Lite masters and slaves.</spirit:description> + <spirit:parameters> + <spirit:parameter> + <spirit:name>ADDR_RANGES</spirit:name> + <spirit:displayName>Number of Address Ranges</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.ADDR_RANGES" spirit:order="2" spirit:minimum="1" spirit:maximum="16" spirit:rangeType="long">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.ADDR_RANGES">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_SI</spirit:name> + <spirit:displayName>Number of Slave Interfaces</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.NUM_SI" spirit:order="3" spirit:minimum="1" spirit:maximum="16" spirit:rangeType="long">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.NUM_SI">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>NUM_MI</spirit:name> + <spirit:displayName>Number of Master Interfaces</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.NUM_MI" spirit:order="4" spirit:minimum="2" spirit:maximum="16" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.NUM_MI">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ADDR_WIDTH</spirit:name> + <spirit:displayName>Address Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.ADDR_WIDTH" spirit:order="5" spirit:minimum="12" spirit:maximum="64" spirit:rangeType="long">32</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>STRATEGY</spirit:name> + <spirit:displayName>Crossbar Optimization Strategy</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.STRATEGY" spirit:choiceRef="choice_pairs_dd3f402c" spirit:order="6">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.STRATEGY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>PROTOCOL</spirit:name> + <spirit:displayName>Protocol</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PROTOCOL" spirit:choiceRef="choice_list_7235ff92" spirit:order="7">AXI4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.PROTOCOL">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>DATA_WIDTH</spirit:name> + <spirit:displayName>Data Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.DATA_WIDTH" spirit:choiceRef="choice_list_40181835" spirit:order="8">32</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.DATA_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>CONNECTIVITY_MODE</spirit:name> + <spirit:displayName>Connectivity Mode</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CONNECTIVITY_MODE" spirit:choiceRef="choice_pairs_d73d287f" spirit:order="9">SAMD</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.CONNECTIVITY_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ID_WIDTH</spirit:name> + <spirit:displayName>ID Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.ID_WIDTH" spirit:order="10" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>AWUSER_WIDTH</spirit:name> + <spirit:displayName>AWUSER Signal Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.AWUSER_WIDTH" spirit:order="11" spirit:minimum="0" spirit:maximum="1024" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.AWUSER_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ARUSER_WIDTH</spirit:name> + <spirit:displayName>ARUSER Signal Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.ARUSER_WIDTH" spirit:order="12" spirit:minimum="0" spirit:maximum="1024" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.ARUSER_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>WUSER_WIDTH</spirit:name> + <spirit:displayName>WUSER Signal Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.WUSER_WIDTH" spirit:order="13" spirit:minimum="0" spirit:maximum="1024" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.WUSER_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>RUSER_WIDTH</spirit:name> + <spirit:displayName>RUSER Signal Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.RUSER_WIDTH" spirit:order="14" spirit:minimum="0" spirit:maximum="1024" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.RUSER_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>BUSER_WIDTH</spirit:name> + <spirit:displayName>BUSER Signal Width</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.BUSER_WIDTH" spirit:order="15" spirit:minimum="0" spirit:maximum="1024" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.BUSER_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>R_REGISTER</spirit:name> + <spirit:displayName>Read Channel Register Slice</spirit:displayName> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.R_REGISTER" spirit:choiceRef="choice_pairs_12c5c5a3" spirit:order="16">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.R_REGISTER">false</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S00_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S00_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S00_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S00_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S01_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S01_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S01_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S01_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S02_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S02_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S02_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S02_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S03_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S03_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S03_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S03_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S04_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S04_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S04_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S04_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S05_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S05_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S05_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S05_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S06_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S06_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S06_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S06_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S07_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S07_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S07_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S07_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S08_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S08_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S08_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S08_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S09_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S09_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S09_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S09_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S10_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S10_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S10_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S10_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S11_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S11_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S11_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S11_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S12_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S12_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S12_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S12_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S13_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S13_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S13_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S13_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S14_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S14_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S14_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S14_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S15_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S15_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S15_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="501">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S15_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S00_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S00_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S00_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S00_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S01_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S01_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S01_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S01_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S02_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S02_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S02_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S02_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S03_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S03_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S03_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S03_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S04_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S04_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S04_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S04_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S05_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S05_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S05_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S05_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S06_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S06_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S06_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S06_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S07_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S07_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S07_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S07_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S08_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S08_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S08_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S08_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S09_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S09_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S09_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S09_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S10_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S10_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S10_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S10_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S11_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S11_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S11_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S11_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S12_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S12_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S12_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S12_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S13_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S13_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S13_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S13_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S14_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S14_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S14_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S14_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S15_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S15_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S15_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="502">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S15_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S00_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S00_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S00_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S00_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S01_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S01_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S01_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S01_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S02_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S02_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S02_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S02_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S03_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S03_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S03_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S03_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S04_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S04_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S04_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S04_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S05_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S05_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S05_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S05_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S06_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S06_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S06_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S06_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S07_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S07_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S07_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S07_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S08_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S08_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S08_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S08_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S09_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S09_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S09_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S09_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S10_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S10_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S10_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S10_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S11_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S11_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S11_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S11_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S12_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S12_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S12_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S12_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S13_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S13_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S13_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S13_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S14_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S14_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S14_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S14_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S15_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S15_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S15_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="503">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S15_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S00_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S00_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S00_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S00_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S01_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S01_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S01_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S01_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S02_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S02_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S02_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S02_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S03_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S03_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S03_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S03_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S04_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S04_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S04_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S04_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S05_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S05_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S05_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S05_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S06_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S06_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S06_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S06_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S07_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S07_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S07_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S07_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S08_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S08_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S08_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S08_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S09_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S09_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S09_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S09_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S10_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S10_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S10_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S10_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S11_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S11_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S11_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S11_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S12_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S12_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S12_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S12_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S13_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S13_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S13_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S13_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S14_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S14_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S14_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S14_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S15_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S15_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S15_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="504">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S15_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S00_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S00_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S00_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S00_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S01_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S01_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S01_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S01_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S02_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S02_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S02_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S02_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S03_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S03_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S03_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S03_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S04_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S04_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S04_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S04_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S05_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S05_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S05_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S05_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S06_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S06_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S06_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S06_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S07_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S07_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S07_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S07_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S08_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S08_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S08_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S08_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S09_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S09_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S09_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S09_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S10_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S10_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S10_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S10_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S11_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S11_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S11_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S11_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S12_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S12_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S12_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S12_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S13_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S13_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S13_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S13_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S14_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S14_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S14_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S14_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S15_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S15_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S15_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="505">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S15_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S00_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S00_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S00_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S00_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S01_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S01_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S01_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S01_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S02_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S02_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S02_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S02_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S03_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S03_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S03_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S03_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S04_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S04_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S04_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S04_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S05_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S05_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S05_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S05_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S06_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S06_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S06_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S06_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S07_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S07_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S07_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S07_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S08_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S08_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S08_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S08_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S09_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S09_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S09_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S09_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S10_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S10_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S10_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S10_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S11_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S11_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S11_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S11_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S12_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S12_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S12_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S12_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S13_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S13_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S13_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S13_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S14_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S14_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S14_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S14_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S15_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S15_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S15_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="506">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S15_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S00_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S00_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S00_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S00_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S01_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S01_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S01_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S01_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S02_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S02_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S02_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S02_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S03_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S03_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S03_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S03_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S04_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S04_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S04_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S04_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S05_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S05_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S05_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S05_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S06_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S06_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S06_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S06_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S07_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S07_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S07_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S07_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S08_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S08_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S08_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S08_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S09_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S09_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S09_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S09_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S10_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S10_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S10_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S10_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S11_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S11_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S11_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S11_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S12_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S12_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S12_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S12_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S13_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S13_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S13_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S13_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S14_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S14_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S14_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S14_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S15_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S15_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S15_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="507">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S15_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S00_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S00_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S00_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S00_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S01_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S01_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S01_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S01_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S02_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S02_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S02_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S02_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S03_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S03_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S03_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S03_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S04_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S04_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S04_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S04_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S05_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S05_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S05_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S05_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S06_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S06_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S06_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S06_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S07_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S07_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S07_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S07_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S08_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S08_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S08_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S08_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S09_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S09_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S09_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S09_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S10_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S10_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S10_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S10_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S11_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S11_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S11_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S11_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S12_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S12_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S12_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S12_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S13_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S13_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S13_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S13_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S14_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S14_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S14_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S14_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S15_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S15_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S15_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="508">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S15_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S00_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S00_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S00_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S00_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S01_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S01_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S01_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S01_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S02_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S02_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S02_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S02_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S03_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S03_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S03_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S03_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S04_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S04_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S04_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S04_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S05_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S05_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S05_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S05_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S06_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S06_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S06_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S06_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S07_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S07_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S07_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S07_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S08_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S08_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S08_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S08_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S09_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S09_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S09_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S09_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S10_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S10_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S10_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S10_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S11_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S11_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S11_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S11_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S12_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S12_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S12_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S12_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S13_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S13_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S13_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S13_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S14_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S14_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S14_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S14_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S15_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S15_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S15_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="509">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S15_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S00_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S00_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S00_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S00_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S01_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S01_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S01_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S01_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S02_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S02_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S02_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S02_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S03_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S03_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S03_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S03_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S04_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S04_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S04_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S04_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S05_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S05_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S05_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S05_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S06_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S06_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S06_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S06_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S07_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S07_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S07_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S07_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S08_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S08_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S08_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S08_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S09_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S09_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S09_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S09_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S10_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S10_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S10_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S10_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S11_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S11_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S11_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S11_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S12_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S12_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S12_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S12_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S13_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S13_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S13_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S13_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S14_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S14_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S14_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S14_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S15_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S15_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S15_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="510">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S15_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S00_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S00_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S00_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S00_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S01_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S01_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S01_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S01_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S02_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S02_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S02_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S02_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S03_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S03_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S03_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S03_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S04_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S04_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S04_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S04_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S05_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S05_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S05_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S05_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S06_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S06_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S06_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S06_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S07_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S07_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S07_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S07_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S08_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S08_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S08_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S08_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S09_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S09_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S09_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S09_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S10_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S10_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S10_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S10_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S11_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S11_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S11_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S11_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S12_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S12_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S12_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S12_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S13_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S13_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S13_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S13_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S14_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S14_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S14_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S14_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S15_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S15_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S15_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="511">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S15_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S00_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S00_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S00_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S00_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S01_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S01_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S01_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S01_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S02_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S02_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S02_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S02_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S03_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S03_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S03_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S03_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S04_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S04_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S04_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S04_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S05_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S05_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S05_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S05_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S06_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S06_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S06_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S06_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S07_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S07_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S07_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S07_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S08_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S08_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S08_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S08_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S09_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S09_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S09_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S09_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S10_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S10_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S10_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S10_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S11_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S11_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S11_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S11_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S12_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S12_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S12_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S12_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S13_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S13_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S13_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S13_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S14_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S14_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S14_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S14_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S15_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S15_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S15_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="512">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S15_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S00_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S00_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S00_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S00_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S01_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S01_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S01_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S01_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S02_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S02_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S02_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S02_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S03_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S03_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S03_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S03_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S04_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S04_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S04_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S04_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S05_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S05_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S05_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S05_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S06_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S06_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S06_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S06_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S07_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S07_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S07_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S07_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S08_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S08_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S08_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S08_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S09_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S09_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S09_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S09_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S10_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S10_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S10_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S10_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S11_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S11_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S11_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S11_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S12_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S12_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S12_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S12_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S13_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S13_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S13_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S13_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S14_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S14_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S14_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S14_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S15_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S15_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S15_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="513">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S15_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S00_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S00_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S00_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S00_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S01_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S01_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S01_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S01_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S02_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S02_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S02_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S02_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S03_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S03_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S03_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S03_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S04_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S04_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S04_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S04_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S05_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S05_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S05_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S05_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S06_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S06_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S06_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S06_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S07_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S07_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S07_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S07_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S08_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S08_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S08_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S08_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S09_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S09_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S09_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S09_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S10_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S10_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S10_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S10_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S11_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S11_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S11_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S11_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S12_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S12_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S12_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S12_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S13_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S13_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S13_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S13_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S14_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S14_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S14_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S14_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S15_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S15_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S15_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="514">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S15_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S00_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S00_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S00_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S00_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S01_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S01_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S01_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S01_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S02_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S02_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S02_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S02_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S03_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S03_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S03_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S03_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S04_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S04_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S04_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S04_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S05_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S05_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S05_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S05_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S06_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S06_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S06_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S06_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S07_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S07_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S07_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S07_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S08_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S08_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S08_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S08_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S09_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S09_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S09_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S09_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S10_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S10_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S10_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S10_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S11_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S11_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S11_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S11_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S12_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S12_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S12_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S12_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S13_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S13_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S13_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S13_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S14_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S14_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S14_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S14_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S15_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S15_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S15_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="515">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S15_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S00_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S00_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S00_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S00_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S01_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S01_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S01_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S01_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S02_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S02_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S02_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S02_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S03_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S03_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S03_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S03_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S04_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S04_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S04_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S04_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S05_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S05_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S05_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S05_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S06_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S06_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S06_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S06_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S07_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S07_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S07_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S07_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S08_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S08_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S08_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S08_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S09_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S09_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S09_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S09_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S10_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S10_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S10_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S10_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S11_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S11_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S11_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S11_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S12_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S12_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S12_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S12_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S13_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S13_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S13_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S13_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S14_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S14_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S14_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S14_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S15_READ_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S15_READ_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S15_READ_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="516">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S15_READ_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S00_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S00_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S00_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S00_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S01_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S01_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S01_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S01_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S02_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S02_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S02_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S02_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S03_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S03_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S03_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S03_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S04_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S04_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S04_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S04_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S05_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S05_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S05_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S05_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S06_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S06_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S06_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S06_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S07_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S07_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S07_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S07_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S08_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S08_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S08_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S08_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S09_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S09_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S09_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S09_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S10_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S10_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S10_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S10_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S11_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S11_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S11_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S11_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S12_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S12_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S12_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S12_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S13_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S13_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S13_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S13_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S14_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S14_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S14_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S14_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_S15_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M00_S15_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_S15_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="517">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_S15_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S00_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S00_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S00_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S00_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S01_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S01_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S01_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S01_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S02_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S02_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S02_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S02_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S03_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S03_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S03_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S03_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S04_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S04_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S04_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S04_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S05_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S05_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S05_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S05_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S06_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S06_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S06_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S06_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S07_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S07_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S07_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S07_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S08_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S08_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S08_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S08_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S09_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S09_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S09_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S09_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S10_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S10_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S10_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S10_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S11_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S11_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S11_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S11_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S12_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S12_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S12_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S12_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S13_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S13_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S13_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S13_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S14_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S14_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S14_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S14_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_S15_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M01_S15_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_S15_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="518">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_S15_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S00_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S00_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S00_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S00_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S01_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S01_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S01_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S01_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S02_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S02_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S02_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S02_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S03_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S03_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S03_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S03_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S04_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S04_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S04_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S04_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S05_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S05_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S05_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S05_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S06_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S06_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S06_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S06_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S07_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S07_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S07_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S07_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S08_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S08_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S08_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S08_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S09_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S09_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S09_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S09_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S10_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S10_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S10_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S10_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S11_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S11_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S11_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S11_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S12_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S12_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S12_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S12_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S13_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S13_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S13_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S13_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S14_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S14_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S14_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S14_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_S15_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M02_S15_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_S15_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="519">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_S15_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S00_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S00_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S00_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S00_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S01_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S01_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S01_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S01_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S02_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S02_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S02_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S02_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S03_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S03_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S03_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S03_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S04_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S04_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S04_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S04_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S05_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S05_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S05_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S05_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S06_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S06_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S06_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S06_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S07_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S07_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S07_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S07_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S08_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S08_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S08_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S08_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S09_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S09_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S09_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S09_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S10_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S10_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S10_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S10_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S11_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S11_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S11_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S11_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S12_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S12_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S12_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S12_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S13_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S13_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S13_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S13_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S14_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S14_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S14_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S14_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_S15_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M03_S15_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_S15_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="520">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_S15_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S00_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S00_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S00_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S00_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S01_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S01_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S01_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S01_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S02_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S02_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S02_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S02_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S03_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S03_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S03_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S03_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S04_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S04_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S04_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S04_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S05_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S05_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S05_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S05_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S06_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S06_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S06_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S06_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S07_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S07_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S07_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S07_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S08_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S08_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S08_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S08_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S09_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S09_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S09_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S09_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S10_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S10_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S10_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S10_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S11_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S11_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S11_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S11_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S12_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S12_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S12_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S12_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S13_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S13_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S13_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S13_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S14_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S14_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S14_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S14_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_S15_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M04_S15_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_S15_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="521">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_S15_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S00_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S00_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S00_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S00_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S01_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S01_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S01_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S01_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S02_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S02_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S02_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S02_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S03_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S03_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S03_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S03_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S04_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S04_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S04_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S04_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S05_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S05_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S05_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S05_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S06_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S06_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S06_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S06_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S07_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S07_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S07_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S07_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S08_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S08_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S08_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S08_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S09_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S09_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S09_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S09_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S10_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S10_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S10_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S10_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S11_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S11_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S11_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S11_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S12_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S12_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S12_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S12_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S13_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S13_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S13_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S13_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S14_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S14_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S14_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S14_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_S15_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M05_S15_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_S15_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="522">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_S15_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S00_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S00_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S00_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S00_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S01_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S01_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S01_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S01_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S02_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S02_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S02_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S02_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S03_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S03_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S03_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S03_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S04_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S04_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S04_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S04_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S05_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S05_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S05_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S05_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S06_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S06_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S06_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S06_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S07_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S07_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S07_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S07_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S08_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S08_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S08_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S08_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S09_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S09_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S09_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S09_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S10_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S10_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S10_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S10_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S11_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S11_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S11_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S11_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S12_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S12_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S12_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S12_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S13_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S13_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S13_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S13_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S14_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S14_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S14_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S14_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_S15_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M06_S15_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_S15_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="523">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_S15_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S00_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S00_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S00_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S00_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S01_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S01_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S01_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S01_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S02_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S02_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S02_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S02_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S03_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S03_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S03_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S03_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S04_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S04_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S04_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S04_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S05_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S05_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S05_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S05_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S06_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S06_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S06_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S06_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S07_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S07_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S07_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S07_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S08_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S08_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S08_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S08_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S09_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S09_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S09_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S09_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S10_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S10_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S10_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S10_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S11_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S11_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S11_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S11_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S12_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S12_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S12_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S12_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S13_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S13_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S13_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S13_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S14_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S14_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S14_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S14_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_S15_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M07_S15_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_S15_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="524">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_S15_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S00_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S00_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S00_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S00_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S01_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S01_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S01_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S01_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S02_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S02_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S02_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S02_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S03_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S03_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S03_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S03_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S04_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S04_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S04_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S04_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S05_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S05_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S05_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S05_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S06_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S06_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S06_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S06_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S07_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S07_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S07_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S07_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S08_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S08_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S08_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S08_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S09_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S09_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S09_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S09_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S10_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S10_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S10_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S10_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S11_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S11_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S11_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S11_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S12_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S12_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S12_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S12_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S13_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S13_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S13_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S13_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S14_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S14_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S14_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S14_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_S15_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M08_S15_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_S15_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="525">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_S15_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S00_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S00_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S00_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S00_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S01_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S01_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S01_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S01_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S02_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S02_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S02_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S02_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S03_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S03_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S03_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S03_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S04_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S04_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S04_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S04_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S05_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S05_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S05_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S05_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S06_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S06_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S06_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S06_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S07_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S07_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S07_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S07_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S08_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S08_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S08_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S08_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S09_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S09_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S09_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S09_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S10_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S10_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S10_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S10_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S11_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S11_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S11_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S11_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S12_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S12_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S12_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S12_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S13_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S13_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S13_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S13_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S14_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S14_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S14_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S14_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_S15_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M09_S15_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_S15_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="526">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_S15_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S00_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S00_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S00_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S00_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S01_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S01_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S01_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S01_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S02_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S02_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S02_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S02_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S03_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S03_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S03_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S03_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S04_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S04_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S04_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S04_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S05_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S05_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S05_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S05_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S06_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S06_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S06_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S06_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S07_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S07_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S07_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S07_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S08_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S08_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S08_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S08_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S09_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S09_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S09_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S09_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S10_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S10_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S10_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S10_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S11_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S11_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S11_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S11_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S12_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S12_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S12_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S12_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S13_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S13_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S13_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S13_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S14_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S14_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S14_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S14_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_S15_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M10_S15_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_S15_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="527">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_S15_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S00_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S00_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S00_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S00_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S01_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S01_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S01_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S01_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S02_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S02_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S02_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S02_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S03_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S03_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S03_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S03_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S04_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S04_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S04_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S04_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S05_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S05_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S05_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S05_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S06_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S06_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S06_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S06_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S07_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S07_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S07_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S07_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S08_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S08_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S08_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S08_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S09_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S09_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S09_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S09_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S10_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S10_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S10_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S10_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S11_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S11_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S11_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S11_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S12_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S12_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S12_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S12_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S13_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S13_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S13_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S13_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S14_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S14_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S14_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S14_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_S15_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M11_S15_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_S15_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="528">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_S15_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S00_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S00_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S00_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S00_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S01_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S01_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S01_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S01_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S02_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S02_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S02_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S02_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S03_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S03_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S03_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S03_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S04_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S04_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S04_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S04_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S05_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S05_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S05_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S05_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S06_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S06_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S06_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S06_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S07_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S07_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S07_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S07_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S08_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S08_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S08_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S08_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S09_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S09_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S09_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S09_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S10_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S10_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S10_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S10_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S11_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S11_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S11_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S11_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S12_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S12_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S12_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S12_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S13_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S13_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S13_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S13_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S14_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S14_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S14_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S14_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_S15_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M12_S15_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_S15_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="529">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_S15_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S00_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S00_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S00_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S00_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S01_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S01_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S01_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S01_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S02_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S02_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S02_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S02_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S03_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S03_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S03_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S03_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S04_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S04_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S04_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S04_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S05_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S05_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S05_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S05_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S06_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S06_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S06_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S06_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S07_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S07_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S07_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S07_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S08_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S08_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S08_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S08_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S09_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S09_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S09_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S09_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S10_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S10_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S10_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S10_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S11_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S11_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S11_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S11_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S12_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S12_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S12_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S12_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S13_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S13_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S13_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S13_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S14_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S14_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S14_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S14_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_S15_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M13_S15_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_S15_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="530">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_S15_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S00_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S00_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S00_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S00_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S01_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S01_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S01_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S01_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S02_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S02_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S02_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S02_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S03_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S03_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S03_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S03_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S04_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S04_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S04_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S04_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S05_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S05_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S05_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S05_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S06_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S06_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S06_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S06_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S07_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S07_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S07_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S07_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S08_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S08_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S08_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S08_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S09_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S09_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S09_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S09_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S10_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S10_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S10_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S10_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S11_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S11_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S11_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S11_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S12_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S12_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S12_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S12_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S13_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S13_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S13_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S13_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S14_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S14_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S14_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S14_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_S15_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M14_S15_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_S15_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="531">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_S15_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S00_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S00_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S00_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S00_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S01_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S01_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S01_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S01_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S02_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S02_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S02_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S02_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S03_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S03_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S03_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S03_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S04_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S04_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S04_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S04_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S05_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S05_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S05_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S05_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S06_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S06_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S06_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S06_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S07_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S07_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S07_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S07_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S08_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S08_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S08_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S08_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S09_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S09_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S09_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S09_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S10_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S10_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S10_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S10_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S11_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S11_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S11_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S11_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S12_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S12_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S12_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S12_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S13_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S13_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S13_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S13_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S14_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S14_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S14_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S14_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_S15_WRITE_CONNECTIVITY</spirit:name> + <spirit:displayName>My M15_S15_WRITE_CONNECTIVITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_S15_WRITE_CONNECTIVITY" spirit:choiceRef="choice_pairs_4873554b" spirit:order="532">1</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_S15_WRITE_CONNECTIVITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S00_THREAD_ID_WIDTH</spirit:name> + <spirit:displayName>My S00_THREAD_ID_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S00_THREAD_ID_WIDTH" spirit:order="533" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S00_THREAD_ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S01_THREAD_ID_WIDTH</spirit:name> + <spirit:displayName>My S01_THREAD_ID_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S01_THREAD_ID_WIDTH" spirit:order="534" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S01_THREAD_ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S02_THREAD_ID_WIDTH</spirit:name> + <spirit:displayName>My S02_THREAD_ID_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S02_THREAD_ID_WIDTH" spirit:order="535" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S02_THREAD_ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S03_THREAD_ID_WIDTH</spirit:name> + <spirit:displayName>My S03_THREAD_ID_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S03_THREAD_ID_WIDTH" spirit:order="536" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S03_THREAD_ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S04_THREAD_ID_WIDTH</spirit:name> + <spirit:displayName>My S04_THREAD_ID_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S04_THREAD_ID_WIDTH" spirit:order="537" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S04_THREAD_ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S05_THREAD_ID_WIDTH</spirit:name> + <spirit:displayName>My S05_THREAD_ID_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S05_THREAD_ID_WIDTH" spirit:order="538" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S05_THREAD_ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S06_THREAD_ID_WIDTH</spirit:name> + <spirit:displayName>My S06_THREAD_ID_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S06_THREAD_ID_WIDTH" spirit:order="539" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S06_THREAD_ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S07_THREAD_ID_WIDTH</spirit:name> + <spirit:displayName>My S07_THREAD_ID_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S07_THREAD_ID_WIDTH" spirit:order="540" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S07_THREAD_ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S08_THREAD_ID_WIDTH</spirit:name> + <spirit:displayName>My S08_THREAD_ID_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S08_THREAD_ID_WIDTH" spirit:order="541" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S08_THREAD_ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S09_THREAD_ID_WIDTH</spirit:name> + <spirit:displayName>My S09_THREAD_ID_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S09_THREAD_ID_WIDTH" spirit:order="542" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S09_THREAD_ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S10_THREAD_ID_WIDTH</spirit:name> + <spirit:displayName>My S10_THREAD_ID_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S10_THREAD_ID_WIDTH" spirit:order="543" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S10_THREAD_ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S11_THREAD_ID_WIDTH</spirit:name> + <spirit:displayName>My S11_THREAD_ID_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S11_THREAD_ID_WIDTH" spirit:order="544" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S11_THREAD_ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S12_THREAD_ID_WIDTH</spirit:name> + <spirit:displayName>My S12_THREAD_ID_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S12_THREAD_ID_WIDTH" spirit:order="545" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S12_THREAD_ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S13_THREAD_ID_WIDTH</spirit:name> + <spirit:displayName>My S13_THREAD_ID_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S13_THREAD_ID_WIDTH" spirit:order="546" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S13_THREAD_ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S14_THREAD_ID_WIDTH</spirit:name> + <spirit:displayName>My S14_THREAD_ID_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S14_THREAD_ID_WIDTH" spirit:order="547" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S14_THREAD_ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S15_THREAD_ID_WIDTH</spirit:name> + <spirit:displayName>My S15_THREAD_ID_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S15_THREAD_ID_WIDTH" spirit:order="548" spirit:minimum="0" spirit:maximum="32" spirit:rangeType="long">12</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S15_THREAD_ID_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S00_WRITE_ACCEPTANCE</spirit:name> + <spirit:displayName>My S00_WRITE_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S00_WRITE_ACCEPTANCE" spirit:order="549" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">8</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S00_WRITE_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S01_WRITE_ACCEPTANCE</spirit:name> + <spirit:displayName>My S01_WRITE_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S01_WRITE_ACCEPTANCE" spirit:order="550" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S01_WRITE_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S02_WRITE_ACCEPTANCE</spirit:name> + <spirit:displayName>My S02_WRITE_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S02_WRITE_ACCEPTANCE" spirit:order="551" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S02_WRITE_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S03_WRITE_ACCEPTANCE</spirit:name> + <spirit:displayName>My S03_WRITE_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S03_WRITE_ACCEPTANCE" spirit:order="552" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S03_WRITE_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S04_WRITE_ACCEPTANCE</spirit:name> + <spirit:displayName>My S04_WRITE_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S04_WRITE_ACCEPTANCE" spirit:order="553" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S04_WRITE_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S05_WRITE_ACCEPTANCE</spirit:name> + <spirit:displayName>My S05_WRITE_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S05_WRITE_ACCEPTANCE" spirit:order="554" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S05_WRITE_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S06_WRITE_ACCEPTANCE</spirit:name> + <spirit:displayName>My S06_WRITE_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S06_WRITE_ACCEPTANCE" spirit:order="555" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S06_WRITE_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S07_WRITE_ACCEPTANCE</spirit:name> + <spirit:displayName>My S07_WRITE_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S07_WRITE_ACCEPTANCE" spirit:order="556" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S07_WRITE_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S08_WRITE_ACCEPTANCE</spirit:name> + <spirit:displayName>My S08_WRITE_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S08_WRITE_ACCEPTANCE" spirit:order="557" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S08_WRITE_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S09_WRITE_ACCEPTANCE</spirit:name> + <spirit:displayName>My S09_WRITE_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S09_WRITE_ACCEPTANCE" spirit:order="558" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S09_WRITE_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S10_WRITE_ACCEPTANCE</spirit:name> + <spirit:displayName>My S10_WRITE_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S10_WRITE_ACCEPTANCE" spirit:order="559" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S10_WRITE_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S11_WRITE_ACCEPTANCE</spirit:name> + <spirit:displayName>My S11_WRITE_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S11_WRITE_ACCEPTANCE" spirit:order="560" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S11_WRITE_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S12_WRITE_ACCEPTANCE</spirit:name> + <spirit:displayName>My S12_WRITE_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S12_WRITE_ACCEPTANCE" spirit:order="561" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S12_WRITE_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S13_WRITE_ACCEPTANCE</spirit:name> + <spirit:displayName>My S13_WRITE_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S13_WRITE_ACCEPTANCE" spirit:order="562" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S13_WRITE_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S14_WRITE_ACCEPTANCE</spirit:name> + <spirit:displayName>My S14_WRITE_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S14_WRITE_ACCEPTANCE" spirit:order="563" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S14_WRITE_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S15_WRITE_ACCEPTANCE</spirit:name> + <spirit:displayName>My S15_WRITE_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S15_WRITE_ACCEPTANCE" spirit:order="564" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S15_WRITE_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S00_READ_ACCEPTANCE</spirit:name> + <spirit:displayName>My S00_READ_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S00_READ_ACCEPTANCE" spirit:order="565" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">8</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S00_READ_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S01_READ_ACCEPTANCE</spirit:name> + <spirit:displayName>My S01_READ_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S01_READ_ACCEPTANCE" spirit:order="566" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S01_READ_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S02_READ_ACCEPTANCE</spirit:name> + <spirit:displayName>My S02_READ_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S02_READ_ACCEPTANCE" spirit:order="567" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S02_READ_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S03_READ_ACCEPTANCE</spirit:name> + <spirit:displayName>My S03_READ_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S03_READ_ACCEPTANCE" spirit:order="568" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S03_READ_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S04_READ_ACCEPTANCE</spirit:name> + <spirit:displayName>My S04_READ_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S04_READ_ACCEPTANCE" spirit:order="569" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S04_READ_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S05_READ_ACCEPTANCE</spirit:name> + <spirit:displayName>My S05_READ_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S05_READ_ACCEPTANCE" spirit:order="570" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S05_READ_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S06_READ_ACCEPTANCE</spirit:name> + <spirit:displayName>My S06_READ_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S06_READ_ACCEPTANCE" spirit:order="571" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S06_READ_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S07_READ_ACCEPTANCE</spirit:name> + <spirit:displayName>My S07_READ_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S07_READ_ACCEPTANCE" spirit:order="572" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S07_READ_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S08_READ_ACCEPTANCE</spirit:name> + <spirit:displayName>My S08_READ_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S08_READ_ACCEPTANCE" spirit:order="573" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S08_READ_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S09_READ_ACCEPTANCE</spirit:name> + <spirit:displayName>My S09_READ_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S09_READ_ACCEPTANCE" spirit:order="574" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S09_READ_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S10_READ_ACCEPTANCE</spirit:name> + <spirit:displayName>My S10_READ_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S10_READ_ACCEPTANCE" spirit:order="575" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S10_READ_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S11_READ_ACCEPTANCE</spirit:name> + <spirit:displayName>My S11_READ_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S11_READ_ACCEPTANCE" spirit:order="576" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S11_READ_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S12_READ_ACCEPTANCE</spirit:name> + <spirit:displayName>My S12_READ_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S12_READ_ACCEPTANCE" spirit:order="577" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S12_READ_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S13_READ_ACCEPTANCE</spirit:name> + <spirit:displayName>My S13_READ_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S13_READ_ACCEPTANCE" spirit:order="578" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S13_READ_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S14_READ_ACCEPTANCE</spirit:name> + <spirit:displayName>My S14_READ_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S14_READ_ACCEPTANCE" spirit:order="579" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S14_READ_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S15_READ_ACCEPTANCE</spirit:name> + <spirit:displayName>My S15_READ_ACCEPTANCE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S15_READ_ACCEPTANCE" spirit:order="580" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S15_READ_ACCEPTANCE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_WRITE_ISSUING</spirit:name> + <spirit:displayName>My M00_WRITE_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_WRITE_ISSUING" spirit:order="581" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_WRITE_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_WRITE_ISSUING</spirit:name> + <spirit:displayName>My M01_WRITE_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_WRITE_ISSUING" spirit:order="582" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">8</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_WRITE_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_WRITE_ISSUING</spirit:name> + <spirit:displayName>My M02_WRITE_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_WRITE_ISSUING" spirit:order="583" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_WRITE_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_WRITE_ISSUING</spirit:name> + <spirit:displayName>My M03_WRITE_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_WRITE_ISSUING" spirit:order="584" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_WRITE_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_WRITE_ISSUING</spirit:name> + <spirit:displayName>My M04_WRITE_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_WRITE_ISSUING" spirit:order="585" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_WRITE_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_WRITE_ISSUING</spirit:name> + <spirit:displayName>My M05_WRITE_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_WRITE_ISSUING" spirit:order="586" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_WRITE_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_WRITE_ISSUING</spirit:name> + <spirit:displayName>My M06_WRITE_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_WRITE_ISSUING" spirit:order="587" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_WRITE_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_WRITE_ISSUING</spirit:name> + <spirit:displayName>My M07_WRITE_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_WRITE_ISSUING" spirit:order="588" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_WRITE_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_WRITE_ISSUING</spirit:name> + <spirit:displayName>My M08_WRITE_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_WRITE_ISSUING" spirit:order="589" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_WRITE_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_WRITE_ISSUING</spirit:name> + <spirit:displayName>My M09_WRITE_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_WRITE_ISSUING" spirit:order="590" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_WRITE_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_WRITE_ISSUING</spirit:name> + <spirit:displayName>My M10_WRITE_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_WRITE_ISSUING" spirit:order="591" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_WRITE_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_WRITE_ISSUING</spirit:name> + <spirit:displayName>My M11_WRITE_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_WRITE_ISSUING" spirit:order="592" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_WRITE_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_WRITE_ISSUING</spirit:name> + <spirit:displayName>My M12_WRITE_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_WRITE_ISSUING" spirit:order="593" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_WRITE_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_WRITE_ISSUING</spirit:name> + <spirit:displayName>My M13_WRITE_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_WRITE_ISSUING" spirit:order="594" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_WRITE_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_WRITE_ISSUING</spirit:name> + <spirit:displayName>My M14_WRITE_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_WRITE_ISSUING" spirit:order="595" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_WRITE_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_WRITE_ISSUING</spirit:name> + <spirit:displayName>My M15_WRITE_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_WRITE_ISSUING" spirit:order="596" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_WRITE_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_READ_ISSUING</spirit:name> + <spirit:displayName>My M00_READ_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_READ_ISSUING" spirit:order="597" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">2</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_READ_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_READ_ISSUING</spirit:name> + <spirit:displayName>My M01_READ_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_READ_ISSUING" spirit:order="598" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">8</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_READ_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_READ_ISSUING</spirit:name> + <spirit:displayName>My M02_READ_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_READ_ISSUING" spirit:order="599" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_READ_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_READ_ISSUING</spirit:name> + <spirit:displayName>My M03_READ_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_READ_ISSUING" spirit:order="600" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_READ_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_READ_ISSUING</spirit:name> + <spirit:displayName>My M04_READ_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_READ_ISSUING" spirit:order="601" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_READ_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_READ_ISSUING</spirit:name> + <spirit:displayName>My M05_READ_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_READ_ISSUING" spirit:order="602" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_READ_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_READ_ISSUING</spirit:name> + <spirit:displayName>My M06_READ_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_READ_ISSUING" spirit:order="603" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_READ_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_READ_ISSUING</spirit:name> + <spirit:displayName>My M07_READ_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_READ_ISSUING" spirit:order="604" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_READ_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_READ_ISSUING</spirit:name> + <spirit:displayName>My M08_READ_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_READ_ISSUING" spirit:order="605" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_READ_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_READ_ISSUING</spirit:name> + <spirit:displayName>My M09_READ_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_READ_ISSUING" spirit:order="606" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_READ_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_READ_ISSUING</spirit:name> + <spirit:displayName>My M10_READ_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_READ_ISSUING" spirit:order="607" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_READ_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_READ_ISSUING</spirit:name> + <spirit:displayName>My M11_READ_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_READ_ISSUING" spirit:order="608" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_READ_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_READ_ISSUING</spirit:name> + <spirit:displayName>My M12_READ_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_READ_ISSUING" spirit:order="609" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_READ_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_READ_ISSUING</spirit:name> + <spirit:displayName>My M13_READ_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_READ_ISSUING" spirit:order="610" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_READ_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_READ_ISSUING</spirit:name> + <spirit:displayName>My M14_READ_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_READ_ISSUING" spirit:order="611" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_READ_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_READ_ISSUING</spirit:name> + <spirit:displayName>My M15_READ_ISSUING</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_READ_ISSUING" spirit:order="612" spirit:minimum="1" spirit:maximum="32" spirit:rangeType="long">4</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_READ_ISSUING">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S00_ARB_PRIORITY</spirit:name> + <spirit:displayName>My S00_ARB_PRIORITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S00_ARB_PRIORITY" spirit:choiceRef="choice_pairs_6c89085d" spirit:order="613">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S00_ARB_PRIORITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S01_ARB_PRIORITY</spirit:name> + <spirit:displayName>My S01_ARB_PRIORITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S01_ARB_PRIORITY" spirit:choiceRef="choice_pairs_6c89085d" spirit:order="614">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S01_ARB_PRIORITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S02_ARB_PRIORITY</spirit:name> + <spirit:displayName>My S02_ARB_PRIORITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S02_ARB_PRIORITY" spirit:choiceRef="choice_pairs_6c89085d" spirit:order="615">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S02_ARB_PRIORITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S03_ARB_PRIORITY</spirit:name> + <spirit:displayName>My S03_ARB_PRIORITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S03_ARB_PRIORITY" spirit:choiceRef="choice_pairs_6c89085d" spirit:order="616">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S03_ARB_PRIORITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S04_ARB_PRIORITY</spirit:name> + <spirit:displayName>My S04_ARB_PRIORITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S04_ARB_PRIORITY" spirit:choiceRef="choice_pairs_6c89085d" spirit:order="617">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S04_ARB_PRIORITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S05_ARB_PRIORITY</spirit:name> + <spirit:displayName>My S05_ARB_PRIORITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S05_ARB_PRIORITY" spirit:choiceRef="choice_pairs_6c89085d" spirit:order="618">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S05_ARB_PRIORITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S06_ARB_PRIORITY</spirit:name> + <spirit:displayName>My S06_ARB_PRIORITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S06_ARB_PRIORITY" spirit:choiceRef="choice_pairs_6c89085d" spirit:order="619">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S06_ARB_PRIORITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S07_ARB_PRIORITY</spirit:name> + <spirit:displayName>My S07_ARB_PRIORITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S07_ARB_PRIORITY" spirit:choiceRef="choice_pairs_6c89085d" spirit:order="620">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S07_ARB_PRIORITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S08_ARB_PRIORITY</spirit:name> + <spirit:displayName>My S08_ARB_PRIORITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S08_ARB_PRIORITY" spirit:choiceRef="choice_pairs_6c89085d" spirit:order="621">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S08_ARB_PRIORITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S09_ARB_PRIORITY</spirit:name> + <spirit:displayName>My S09_ARB_PRIORITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S09_ARB_PRIORITY" spirit:choiceRef="choice_pairs_6c89085d" spirit:order="622">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S09_ARB_PRIORITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S10_ARB_PRIORITY</spirit:name> + <spirit:displayName>My S10_ARB_PRIORITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S10_ARB_PRIORITY" spirit:choiceRef="choice_pairs_6c89085d" spirit:order="623">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S10_ARB_PRIORITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S11_ARB_PRIORITY</spirit:name> + <spirit:displayName>My S11_ARB_PRIORITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S11_ARB_PRIORITY" spirit:choiceRef="choice_pairs_6c89085d" spirit:order="624">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S11_ARB_PRIORITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S12_ARB_PRIORITY</spirit:name> + <spirit:displayName>My S12_ARB_PRIORITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S12_ARB_PRIORITY" spirit:choiceRef="choice_pairs_6c89085d" spirit:order="625">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S12_ARB_PRIORITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S13_ARB_PRIORITY</spirit:name> + <spirit:displayName>My S13_ARB_PRIORITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S13_ARB_PRIORITY" spirit:choiceRef="choice_pairs_6c89085d" spirit:order="626">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S13_ARB_PRIORITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S14_ARB_PRIORITY</spirit:name> + <spirit:displayName>My S14_ARB_PRIORITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S14_ARB_PRIORITY" spirit:choiceRef="choice_pairs_6c89085d" spirit:order="627">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S14_ARB_PRIORITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S15_ARB_PRIORITY</spirit:name> + <spirit:displayName>My S15_ARB_PRIORITY</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S15_ARB_PRIORITY" spirit:choiceRef="choice_pairs_6c89085d" spirit:order="628">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S15_ARB_PRIORITY">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_ERR_MODE</spirit:name> + <spirit:displayName>My M00_ERR_MODE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_ERR_MODE" spirit:choiceRef="choice_pairs_d9a0b468" spirit:order="629">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_ERR_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_ERR_MODE</spirit:name> + <spirit:displayName>My M01_ERR_MODE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_ERR_MODE" spirit:choiceRef="choice_pairs_d9a0b468" spirit:order="630">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_ERR_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_ERR_MODE</spirit:name> + <spirit:displayName>My M02_ERR_MODE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_ERR_MODE" spirit:choiceRef="choice_pairs_d9a0b468" spirit:order="631">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_ERR_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_ERR_MODE</spirit:name> + <spirit:displayName>My M03_ERR_MODE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_ERR_MODE" spirit:choiceRef="choice_pairs_d9a0b468" spirit:order="632">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_ERR_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_ERR_MODE</spirit:name> + <spirit:displayName>My M04_ERR_MODE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_ERR_MODE" spirit:choiceRef="choice_pairs_d9a0b468" spirit:order="633">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_ERR_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_ERR_MODE</spirit:name> + <spirit:displayName>My M05_ERR_MODE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_ERR_MODE" spirit:choiceRef="choice_pairs_d9a0b468" spirit:order="634">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_ERR_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_ERR_MODE</spirit:name> + <spirit:displayName>My M06_ERR_MODE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_ERR_MODE" spirit:choiceRef="choice_pairs_d9a0b468" spirit:order="635">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_ERR_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_ERR_MODE</spirit:name> + <spirit:displayName>My M07_ERR_MODE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_ERR_MODE" spirit:choiceRef="choice_pairs_d9a0b468" spirit:order="636">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_ERR_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_ERR_MODE</spirit:name> + <spirit:displayName>My M08_ERR_MODE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_ERR_MODE" spirit:choiceRef="choice_pairs_d9a0b468" spirit:order="637">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_ERR_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_ERR_MODE</spirit:name> + <spirit:displayName>My M09_ERR_MODE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_ERR_MODE" spirit:choiceRef="choice_pairs_d9a0b468" spirit:order="638">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_ERR_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_ERR_MODE</spirit:name> + <spirit:displayName>My M10_ERR_MODE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_ERR_MODE" spirit:choiceRef="choice_pairs_d9a0b468" spirit:order="639">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_ERR_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_ERR_MODE</spirit:name> + <spirit:displayName>My M11_ERR_MODE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_ERR_MODE" spirit:choiceRef="choice_pairs_d9a0b468" spirit:order="640">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_ERR_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_ERR_MODE</spirit:name> + <spirit:displayName>My M12_ERR_MODE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_ERR_MODE" spirit:choiceRef="choice_pairs_d9a0b468" spirit:order="641">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_ERR_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_ERR_MODE</spirit:name> + <spirit:displayName>My M13_ERR_MODE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_ERR_MODE" spirit:choiceRef="choice_pairs_d9a0b468" spirit:order="642">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_ERR_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_ERR_MODE</spirit:name> + <spirit:displayName>My M14_ERR_MODE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_ERR_MODE" spirit:choiceRef="choice_pairs_d9a0b468" spirit:order="643">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_ERR_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_ERR_MODE</spirit:name> + <spirit:displayName>My M15_ERR_MODE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_ERR_MODE" spirit:choiceRef="choice_pairs_d9a0b468" spirit:order="644">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_ERR_MODE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S00_SINGLE_THREAD</spirit:name> + <spirit:displayName>My S00_SINGLE_THREAD</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S00_SINGLE_THREAD" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="645">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S00_SINGLE_THREAD">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S01_SINGLE_THREAD</spirit:name> + <spirit:displayName>My S01_SINGLE_THREAD</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S01_SINGLE_THREAD" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="646">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S01_SINGLE_THREAD">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S02_SINGLE_THREAD</spirit:name> + <spirit:displayName>My S02_SINGLE_THREAD</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S02_SINGLE_THREAD" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="647">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S02_SINGLE_THREAD">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S03_SINGLE_THREAD</spirit:name> + <spirit:displayName>My S03_SINGLE_THREAD</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S03_SINGLE_THREAD" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="648">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S03_SINGLE_THREAD">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S04_SINGLE_THREAD</spirit:name> + <spirit:displayName>My S04_SINGLE_THREAD</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S04_SINGLE_THREAD" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="649">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S04_SINGLE_THREAD">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S05_SINGLE_THREAD</spirit:name> + <spirit:displayName>My S05_SINGLE_THREAD</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S05_SINGLE_THREAD" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="650">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S05_SINGLE_THREAD">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S06_SINGLE_THREAD</spirit:name> + <spirit:displayName>My S06_SINGLE_THREAD</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S06_SINGLE_THREAD" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="651">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S06_SINGLE_THREAD">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S07_SINGLE_THREAD</spirit:name> + <spirit:displayName>My S07_SINGLE_THREAD</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S07_SINGLE_THREAD" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="652">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S07_SINGLE_THREAD">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S08_SINGLE_THREAD</spirit:name> + <spirit:displayName>My S08_SINGLE_THREAD</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S08_SINGLE_THREAD" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="653">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S08_SINGLE_THREAD">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S09_SINGLE_THREAD</spirit:name> + <spirit:displayName>My S09_SINGLE_THREAD</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S09_SINGLE_THREAD" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="654">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S09_SINGLE_THREAD">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S10_SINGLE_THREAD</spirit:name> + <spirit:displayName>My S10_SINGLE_THREAD</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S10_SINGLE_THREAD" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="655">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S10_SINGLE_THREAD">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S11_SINGLE_THREAD</spirit:name> + <spirit:displayName>My S11_SINGLE_THREAD</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S11_SINGLE_THREAD" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="656">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S11_SINGLE_THREAD">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S12_SINGLE_THREAD</spirit:name> + <spirit:displayName>My S12_SINGLE_THREAD</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S12_SINGLE_THREAD" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="657">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S12_SINGLE_THREAD">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S13_SINGLE_THREAD</spirit:name> + <spirit:displayName>My S13_SINGLE_THREAD</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S13_SINGLE_THREAD" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="658">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S13_SINGLE_THREAD">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S14_SINGLE_THREAD</spirit:name> + <spirit:displayName>My S14_SINGLE_THREAD</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S14_SINGLE_THREAD" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="659">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S14_SINGLE_THREAD">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S15_SINGLE_THREAD</spirit:name> + <spirit:displayName>My S15_SINGLE_THREAD</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.S15_SINGLE_THREAD" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="660">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S15_SINGLE_THREAD">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_SECURE</spirit:name> + <spirit:displayName>My M00_SECURE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_SECURE" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="661">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_SECURE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_SECURE</spirit:name> + <spirit:displayName>My M01_SECURE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_SECURE" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="662">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_SECURE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_SECURE</spirit:name> + <spirit:displayName>My M02_SECURE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_SECURE" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="663">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_SECURE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_SECURE</spirit:name> + <spirit:displayName>My M03_SECURE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_SECURE" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="664">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_SECURE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_SECURE</spirit:name> + <spirit:displayName>My M04_SECURE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_SECURE" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="665">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_SECURE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_SECURE</spirit:name> + <spirit:displayName>My M05_SECURE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_SECURE" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="666">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_SECURE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_SECURE</spirit:name> + <spirit:displayName>My M06_SECURE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_SECURE" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="667">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_SECURE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_SECURE</spirit:name> + <spirit:displayName>My M07_SECURE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_SECURE" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="668">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_SECURE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_SECURE</spirit:name> + <spirit:displayName>My M08_SECURE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_SECURE" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="669">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_SECURE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_SECURE</spirit:name> + <spirit:displayName>My M09_SECURE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_SECURE" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="670">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_SECURE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_SECURE</spirit:name> + <spirit:displayName>My M10_SECURE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_SECURE" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="671">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_SECURE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_SECURE</spirit:name> + <spirit:displayName>My M11_SECURE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_SECURE" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="672">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_SECURE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_SECURE</spirit:name> + <spirit:displayName>My M12_SECURE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_SECURE" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="673">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_SECURE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_SECURE</spirit:name> + <spirit:displayName>My M13_SECURE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_SECURE" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="674">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_SECURE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_SECURE</spirit:name> + <spirit:displayName>My M14_SECURE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_SECURE" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="675">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_SECURE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_SECURE</spirit:name> + <spirit:displayName>My M15_SECURE</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_SECURE" spirit:choiceRef="choice_pairs_37189c7b" spirit:order="676">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_SECURE">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S00_BASE_ID</spirit:name> + <spirit:displayName>My S00_BASE_ID</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.S00_BASE_ID" spirit:order="677" spirit:bitStringLength="32">0x00000000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S00_BASE_ID">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S01_BASE_ID</spirit:name> + <spirit:displayName>My S01_BASE_ID</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.S01_BASE_ID" spirit:order="678" spirit:bitStringLength="32">0x00001000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S01_BASE_ID">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S02_BASE_ID</spirit:name> + <spirit:displayName>My S02_BASE_ID</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.S02_BASE_ID" spirit:order="679" spirit:bitStringLength="32">0x00002000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S02_BASE_ID">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S03_BASE_ID</spirit:name> + <spirit:displayName>My S03_BASE_ID</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.S03_BASE_ID" spirit:order="680" spirit:bitStringLength="32">0x00003000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S03_BASE_ID">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S04_BASE_ID</spirit:name> + <spirit:displayName>My S04_BASE_ID</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.S04_BASE_ID" spirit:order="681" spirit:bitStringLength="32">0x00004000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S04_BASE_ID">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S05_BASE_ID</spirit:name> + <spirit:displayName>My S05_BASE_ID</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.S05_BASE_ID" spirit:order="682" spirit:bitStringLength="32">0x00005000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S05_BASE_ID">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S06_BASE_ID</spirit:name> + <spirit:displayName>My S06_BASE_ID</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.S06_BASE_ID" spirit:order="683" spirit:bitStringLength="32">0x00006000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S06_BASE_ID">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S07_BASE_ID</spirit:name> + <spirit:displayName>My S07_BASE_ID</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.S07_BASE_ID" spirit:order="684" spirit:bitStringLength="32">0x00007000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S07_BASE_ID">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S08_BASE_ID</spirit:name> + <spirit:displayName>My S08_BASE_ID</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.S08_BASE_ID" spirit:order="685" spirit:bitStringLength="32">0x00008000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S08_BASE_ID">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S09_BASE_ID</spirit:name> + <spirit:displayName>My S09_BASE_ID</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.S09_BASE_ID" spirit:order="686" spirit:bitStringLength="32">0x00009000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S09_BASE_ID">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S10_BASE_ID</spirit:name> + <spirit:displayName>My S10_BASE_ID</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.S10_BASE_ID" spirit:order="687" spirit:bitStringLength="32">0x0000a000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S10_BASE_ID">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S11_BASE_ID</spirit:name> + <spirit:displayName>My S11_BASE_ID</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.S11_BASE_ID" spirit:order="688" spirit:bitStringLength="32">0x0000b000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S11_BASE_ID">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S12_BASE_ID</spirit:name> + <spirit:displayName>My S12_BASE_ID</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.S12_BASE_ID" spirit:order="689" spirit:bitStringLength="32">0x0000c000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S12_BASE_ID">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S13_BASE_ID</spirit:name> + <spirit:displayName>My S13_BASE_ID</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.S13_BASE_ID" spirit:order="690" spirit:bitStringLength="32">0x0000d000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S13_BASE_ID">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S14_BASE_ID</spirit:name> + <spirit:displayName>My S14_BASE_ID</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.S14_BASE_ID" spirit:order="691" spirit:bitStringLength="32">0x0000e000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S14_BASE_ID">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>S15_BASE_ID</spirit:name> + <spirit:displayName>My S15_BASE_ID</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.S15_BASE_ID" spirit:order="692" spirit:bitStringLength="32">0x0000f000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.S15_BASE_ID">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A00_BASE_ADDR</spirit:name> + <spirit:displayName>My M00_A00_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A00_BASE_ADDR" spirit:order="693" spirit:bitStringLength="64">0x0000000080000000</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A00_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A01_BASE_ADDR</spirit:name> + <spirit:displayName>My M00_A01_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A01_BASE_ADDR" spirit:order="694" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A01_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A02_BASE_ADDR</spirit:name> + <spirit:displayName>My M00_A02_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A02_BASE_ADDR" spirit:order="695" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A02_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A03_BASE_ADDR</spirit:name> + <spirit:displayName>My M00_A03_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A03_BASE_ADDR" spirit:order="696" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A03_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A04_BASE_ADDR</spirit:name> + <spirit:displayName>My M00_A04_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A04_BASE_ADDR" spirit:order="697" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A04_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A05_BASE_ADDR</spirit:name> + <spirit:displayName>My M00_A05_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A05_BASE_ADDR" spirit:order="698" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A05_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A06_BASE_ADDR</spirit:name> + <spirit:displayName>My M00_A06_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A06_BASE_ADDR" spirit:order="699" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A06_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A07_BASE_ADDR</spirit:name> + <spirit:displayName>My M00_A07_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A07_BASE_ADDR" spirit:order="700" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A07_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A08_BASE_ADDR</spirit:name> + <spirit:displayName>My M00_A08_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A08_BASE_ADDR" spirit:order="701" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A08_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A09_BASE_ADDR</spirit:name> + <spirit:displayName>My M00_A09_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A09_BASE_ADDR" spirit:order="702" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A09_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A10_BASE_ADDR</spirit:name> + <spirit:displayName>My M00_A10_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A10_BASE_ADDR" spirit:order="703" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A10_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A11_BASE_ADDR</spirit:name> + <spirit:displayName>My M00_A11_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A11_BASE_ADDR" spirit:order="704" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A11_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A12_BASE_ADDR</spirit:name> + <spirit:displayName>My M00_A12_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A12_BASE_ADDR" spirit:order="705" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A12_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A13_BASE_ADDR</spirit:name> + <spirit:displayName>My M00_A13_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A13_BASE_ADDR" spirit:order="706" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A13_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A14_BASE_ADDR</spirit:name> + <spirit:displayName>My M00_A14_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A14_BASE_ADDR" spirit:order="707" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A14_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A15_BASE_ADDR</spirit:name> + <spirit:displayName>My M00_A15_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A15_BASE_ADDR" spirit:order="708" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A15_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A00_BASE_ADDR</spirit:name> + <spirit:displayName>My M01_A00_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A00_BASE_ADDR" spirit:order="709" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A00_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A01_BASE_ADDR</spirit:name> + <spirit:displayName>My M01_A01_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A01_BASE_ADDR" spirit:order="710" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A01_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A02_BASE_ADDR</spirit:name> + <spirit:displayName>My M01_A02_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A02_BASE_ADDR" spirit:order="711" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A02_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A03_BASE_ADDR</spirit:name> + <spirit:displayName>My M01_A03_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A03_BASE_ADDR" spirit:order="712" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A03_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A04_BASE_ADDR</spirit:name> + <spirit:displayName>My M01_A04_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A04_BASE_ADDR" spirit:order="713" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A04_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A05_BASE_ADDR</spirit:name> + <spirit:displayName>My M01_A05_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A05_BASE_ADDR" spirit:order="714" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A05_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A06_BASE_ADDR</spirit:name> + <spirit:displayName>My M01_A06_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A06_BASE_ADDR" spirit:order="715" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A06_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A07_BASE_ADDR</spirit:name> + <spirit:displayName>My M01_A07_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A07_BASE_ADDR" spirit:order="716" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A07_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A08_BASE_ADDR</spirit:name> + <spirit:displayName>My M01_A08_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A08_BASE_ADDR" spirit:order="717" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A08_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A09_BASE_ADDR</spirit:name> + <spirit:displayName>My M01_A09_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A09_BASE_ADDR" spirit:order="718" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A09_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A10_BASE_ADDR</spirit:name> + <spirit:displayName>My M01_A10_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A10_BASE_ADDR" spirit:order="719" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A10_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A11_BASE_ADDR</spirit:name> + <spirit:displayName>My M01_A11_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A11_BASE_ADDR" spirit:order="720" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A11_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A12_BASE_ADDR</spirit:name> + <spirit:displayName>My M01_A12_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A12_BASE_ADDR" spirit:order="721" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A12_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A13_BASE_ADDR</spirit:name> + <spirit:displayName>My M01_A13_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A13_BASE_ADDR" spirit:order="722" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A13_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A14_BASE_ADDR</spirit:name> + <spirit:displayName>My M01_A14_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A14_BASE_ADDR" spirit:order="723" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A14_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A15_BASE_ADDR</spirit:name> + <spirit:displayName>My M01_A15_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A15_BASE_ADDR" spirit:order="724" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A15_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A00_BASE_ADDR</spirit:name> + <spirit:displayName>My M02_A00_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A00_BASE_ADDR" spirit:order="725" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A00_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A01_BASE_ADDR</spirit:name> + <spirit:displayName>My M02_A01_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A01_BASE_ADDR" spirit:order="726" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A01_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A02_BASE_ADDR</spirit:name> + <spirit:displayName>My M02_A02_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A02_BASE_ADDR" spirit:order="727" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A02_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A03_BASE_ADDR</spirit:name> + <spirit:displayName>My M02_A03_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A03_BASE_ADDR" spirit:order="728" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A03_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A04_BASE_ADDR</spirit:name> + <spirit:displayName>My M02_A04_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A04_BASE_ADDR" spirit:order="729" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A04_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A05_BASE_ADDR</spirit:name> + <spirit:displayName>My M02_A05_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A05_BASE_ADDR" spirit:order="730" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A05_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A06_BASE_ADDR</spirit:name> + <spirit:displayName>My M02_A06_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A06_BASE_ADDR" spirit:order="731" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A06_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A07_BASE_ADDR</spirit:name> + <spirit:displayName>My M02_A07_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A07_BASE_ADDR" spirit:order="732" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A07_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A08_BASE_ADDR</spirit:name> + <spirit:displayName>My M02_A08_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A08_BASE_ADDR" spirit:order="733" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A08_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A09_BASE_ADDR</spirit:name> + <spirit:displayName>My M02_A09_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A09_BASE_ADDR" spirit:order="734" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A09_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A10_BASE_ADDR</spirit:name> + <spirit:displayName>My M02_A10_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A10_BASE_ADDR" spirit:order="735" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A10_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A11_BASE_ADDR</spirit:name> + <spirit:displayName>My M02_A11_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A11_BASE_ADDR" spirit:order="736" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A11_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A12_BASE_ADDR</spirit:name> + <spirit:displayName>My M02_A12_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A12_BASE_ADDR" spirit:order="737" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A12_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A13_BASE_ADDR</spirit:name> + <spirit:displayName>My M02_A13_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A13_BASE_ADDR" spirit:order="738" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A13_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A14_BASE_ADDR</spirit:name> + <spirit:displayName>My M02_A14_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A14_BASE_ADDR" spirit:order="739" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A14_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A15_BASE_ADDR</spirit:name> + <spirit:displayName>My M02_A15_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A15_BASE_ADDR" spirit:order="740" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A15_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A00_BASE_ADDR</spirit:name> + <spirit:displayName>My M03_A00_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A00_BASE_ADDR" spirit:order="741" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A00_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A01_BASE_ADDR</spirit:name> + <spirit:displayName>My M03_A01_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A01_BASE_ADDR" spirit:order="742" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A01_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A02_BASE_ADDR</spirit:name> + <spirit:displayName>My M03_A02_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A02_BASE_ADDR" spirit:order="743" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A02_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A03_BASE_ADDR</spirit:name> + <spirit:displayName>My M03_A03_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A03_BASE_ADDR" spirit:order="744" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A03_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A04_BASE_ADDR</spirit:name> + <spirit:displayName>My M03_A04_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A04_BASE_ADDR" spirit:order="745" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A04_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A05_BASE_ADDR</spirit:name> + <spirit:displayName>My M03_A05_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A05_BASE_ADDR" spirit:order="746" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A05_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A06_BASE_ADDR</spirit:name> + <spirit:displayName>My M03_A06_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A06_BASE_ADDR" spirit:order="747" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A06_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A07_BASE_ADDR</spirit:name> + <spirit:displayName>My M03_A07_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A07_BASE_ADDR" spirit:order="748" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A07_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A08_BASE_ADDR</spirit:name> + <spirit:displayName>My M03_A08_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A08_BASE_ADDR" spirit:order="749" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A08_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A09_BASE_ADDR</spirit:name> + <spirit:displayName>My M03_A09_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A09_BASE_ADDR" spirit:order="750" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A09_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A10_BASE_ADDR</spirit:name> + <spirit:displayName>My M03_A10_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A10_BASE_ADDR" spirit:order="751" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A10_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A11_BASE_ADDR</spirit:name> + <spirit:displayName>My M03_A11_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A11_BASE_ADDR" spirit:order="752" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A11_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A12_BASE_ADDR</spirit:name> + <spirit:displayName>My M03_A12_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A12_BASE_ADDR" spirit:order="753" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A12_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A13_BASE_ADDR</spirit:name> + <spirit:displayName>My M03_A13_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A13_BASE_ADDR" spirit:order="754" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A13_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A14_BASE_ADDR</spirit:name> + <spirit:displayName>My M03_A14_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A14_BASE_ADDR" spirit:order="755" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A14_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A15_BASE_ADDR</spirit:name> + <spirit:displayName>My M03_A15_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A15_BASE_ADDR" spirit:order="756" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A15_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A00_BASE_ADDR</spirit:name> + <spirit:displayName>My M04_A00_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A00_BASE_ADDR" spirit:order="757" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A00_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A01_BASE_ADDR</spirit:name> + <spirit:displayName>My M04_A01_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A01_BASE_ADDR" spirit:order="758" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A01_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A02_BASE_ADDR</spirit:name> + <spirit:displayName>My M04_A02_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A02_BASE_ADDR" spirit:order="759" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A02_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A03_BASE_ADDR</spirit:name> + <spirit:displayName>My M04_A03_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A03_BASE_ADDR" spirit:order="760" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A03_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A04_BASE_ADDR</spirit:name> + <spirit:displayName>My M04_A04_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A04_BASE_ADDR" spirit:order="761" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A04_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A05_BASE_ADDR</spirit:name> + <spirit:displayName>My M04_A05_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A05_BASE_ADDR" spirit:order="762" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A05_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A06_BASE_ADDR</spirit:name> + <spirit:displayName>My M04_A06_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A06_BASE_ADDR" spirit:order="763" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A06_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A07_BASE_ADDR</spirit:name> + <spirit:displayName>My M04_A07_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A07_BASE_ADDR" spirit:order="764" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A07_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A08_BASE_ADDR</spirit:name> + <spirit:displayName>My M04_A08_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A08_BASE_ADDR" spirit:order="765" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A08_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A09_BASE_ADDR</spirit:name> + <spirit:displayName>My M04_A09_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A09_BASE_ADDR" spirit:order="766" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A09_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A10_BASE_ADDR</spirit:name> + <spirit:displayName>My M04_A10_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A10_BASE_ADDR" spirit:order="767" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A10_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A11_BASE_ADDR</spirit:name> + <spirit:displayName>My M04_A11_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A11_BASE_ADDR" spirit:order="768" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A11_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A12_BASE_ADDR</spirit:name> + <spirit:displayName>My M04_A12_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A12_BASE_ADDR" spirit:order="769" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A12_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A13_BASE_ADDR</spirit:name> + <spirit:displayName>My M04_A13_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A13_BASE_ADDR" spirit:order="770" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A13_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A14_BASE_ADDR</spirit:name> + <spirit:displayName>My M04_A14_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A14_BASE_ADDR" spirit:order="771" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A14_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A15_BASE_ADDR</spirit:name> + <spirit:displayName>My M04_A15_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A15_BASE_ADDR" spirit:order="772" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A15_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A00_BASE_ADDR</spirit:name> + <spirit:displayName>My M05_A00_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A00_BASE_ADDR" spirit:order="773" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A00_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A01_BASE_ADDR</spirit:name> + <spirit:displayName>My M05_A01_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A01_BASE_ADDR" spirit:order="774" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A01_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A02_BASE_ADDR</spirit:name> + <spirit:displayName>My M05_A02_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A02_BASE_ADDR" spirit:order="775" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A02_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A03_BASE_ADDR</spirit:name> + <spirit:displayName>My M05_A03_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A03_BASE_ADDR" spirit:order="776" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A03_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A04_BASE_ADDR</spirit:name> + <spirit:displayName>My M05_A04_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A04_BASE_ADDR" spirit:order="777" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A04_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A05_BASE_ADDR</spirit:name> + <spirit:displayName>My M05_A05_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A05_BASE_ADDR" spirit:order="778" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A05_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A06_BASE_ADDR</spirit:name> + <spirit:displayName>My M05_A06_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A06_BASE_ADDR" spirit:order="779" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A06_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A07_BASE_ADDR</spirit:name> + <spirit:displayName>My M05_A07_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A07_BASE_ADDR" spirit:order="780" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A07_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A08_BASE_ADDR</spirit:name> + <spirit:displayName>My M05_A08_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A08_BASE_ADDR" spirit:order="781" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A08_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A09_BASE_ADDR</spirit:name> + <spirit:displayName>My M05_A09_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A09_BASE_ADDR" spirit:order="782" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A09_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A10_BASE_ADDR</spirit:name> + <spirit:displayName>My M05_A10_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A10_BASE_ADDR" spirit:order="783" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A10_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A11_BASE_ADDR</spirit:name> + <spirit:displayName>My M05_A11_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A11_BASE_ADDR" spirit:order="784" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A11_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A12_BASE_ADDR</spirit:name> + <spirit:displayName>My M05_A12_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A12_BASE_ADDR" spirit:order="785" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A12_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A13_BASE_ADDR</spirit:name> + <spirit:displayName>My M05_A13_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A13_BASE_ADDR" spirit:order="786" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A13_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A14_BASE_ADDR</spirit:name> + <spirit:displayName>My M05_A14_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A14_BASE_ADDR" spirit:order="787" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A14_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A15_BASE_ADDR</spirit:name> + <spirit:displayName>My M05_A15_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A15_BASE_ADDR" spirit:order="788" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A15_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A00_BASE_ADDR</spirit:name> + <spirit:displayName>My M06_A00_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A00_BASE_ADDR" spirit:order="789" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A00_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A01_BASE_ADDR</spirit:name> + <spirit:displayName>My M06_A01_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A01_BASE_ADDR" spirit:order="790" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A01_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A02_BASE_ADDR</spirit:name> + <spirit:displayName>My M06_A02_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A02_BASE_ADDR" spirit:order="791" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A02_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A03_BASE_ADDR</spirit:name> + <spirit:displayName>My M06_A03_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A03_BASE_ADDR" spirit:order="792" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A03_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A04_BASE_ADDR</spirit:name> + <spirit:displayName>My M06_A04_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A04_BASE_ADDR" spirit:order="793" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A04_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A05_BASE_ADDR</spirit:name> + <spirit:displayName>My M06_A05_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A05_BASE_ADDR" spirit:order="794" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A05_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A06_BASE_ADDR</spirit:name> + <spirit:displayName>My M06_A06_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A06_BASE_ADDR" spirit:order="795" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A06_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A07_BASE_ADDR</spirit:name> + <spirit:displayName>My M06_A07_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A07_BASE_ADDR" spirit:order="796" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A07_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A08_BASE_ADDR</spirit:name> + <spirit:displayName>My M06_A08_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A08_BASE_ADDR" spirit:order="797" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A08_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A09_BASE_ADDR</spirit:name> + <spirit:displayName>My M06_A09_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A09_BASE_ADDR" spirit:order="798" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A09_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A10_BASE_ADDR</spirit:name> + <spirit:displayName>My M06_A10_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A10_BASE_ADDR" spirit:order="799" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A10_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A11_BASE_ADDR</spirit:name> + <spirit:displayName>My M06_A11_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A11_BASE_ADDR" spirit:order="800" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A11_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A12_BASE_ADDR</spirit:name> + <spirit:displayName>My M06_A12_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A12_BASE_ADDR" spirit:order="801" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A12_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A13_BASE_ADDR</spirit:name> + <spirit:displayName>My M06_A13_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A13_BASE_ADDR" spirit:order="802" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A13_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A14_BASE_ADDR</spirit:name> + <spirit:displayName>My M06_A14_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A14_BASE_ADDR" spirit:order="803" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A14_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A15_BASE_ADDR</spirit:name> + <spirit:displayName>My M06_A15_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A15_BASE_ADDR" spirit:order="804" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A15_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A00_BASE_ADDR</spirit:name> + <spirit:displayName>My M07_A00_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A00_BASE_ADDR" spirit:order="805" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A00_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A01_BASE_ADDR</spirit:name> + <spirit:displayName>My M07_A01_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A01_BASE_ADDR" spirit:order="806" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A01_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A02_BASE_ADDR</spirit:name> + <spirit:displayName>My M07_A02_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A02_BASE_ADDR" spirit:order="807" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A02_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A03_BASE_ADDR</spirit:name> + <spirit:displayName>My M07_A03_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A03_BASE_ADDR" spirit:order="808" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A03_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A04_BASE_ADDR</spirit:name> + <spirit:displayName>My M07_A04_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A04_BASE_ADDR" spirit:order="809" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A04_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A05_BASE_ADDR</spirit:name> + <spirit:displayName>My M07_A05_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A05_BASE_ADDR" spirit:order="810" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A05_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A06_BASE_ADDR</spirit:name> + <spirit:displayName>My M07_A06_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A06_BASE_ADDR" spirit:order="811" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A06_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A07_BASE_ADDR</spirit:name> + <spirit:displayName>My M07_A07_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A07_BASE_ADDR" spirit:order="812" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A07_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A08_BASE_ADDR</spirit:name> + <spirit:displayName>My M07_A08_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A08_BASE_ADDR" spirit:order="813" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A08_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A09_BASE_ADDR</spirit:name> + <spirit:displayName>My M07_A09_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A09_BASE_ADDR" spirit:order="814" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A09_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A10_BASE_ADDR</spirit:name> + <spirit:displayName>My M07_A10_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A10_BASE_ADDR" spirit:order="815" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A10_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A11_BASE_ADDR</spirit:name> + <spirit:displayName>My M07_A11_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A11_BASE_ADDR" spirit:order="816" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A11_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A12_BASE_ADDR</spirit:name> + <spirit:displayName>My M07_A12_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A12_BASE_ADDR" spirit:order="817" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A12_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A13_BASE_ADDR</spirit:name> + <spirit:displayName>My M07_A13_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A13_BASE_ADDR" spirit:order="818" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A13_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A14_BASE_ADDR</spirit:name> + <spirit:displayName>My M07_A14_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A14_BASE_ADDR" spirit:order="819" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A14_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A15_BASE_ADDR</spirit:name> + <spirit:displayName>My M07_A15_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A15_BASE_ADDR" spirit:order="820" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A15_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A00_BASE_ADDR</spirit:name> + <spirit:displayName>My M08_A00_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A00_BASE_ADDR" spirit:order="821" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A00_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A01_BASE_ADDR</spirit:name> + <spirit:displayName>My M08_A01_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A01_BASE_ADDR" spirit:order="822" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A01_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A02_BASE_ADDR</spirit:name> + <spirit:displayName>My M08_A02_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A02_BASE_ADDR" spirit:order="823" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A02_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A03_BASE_ADDR</spirit:name> + <spirit:displayName>My M08_A03_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A03_BASE_ADDR" spirit:order="824" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A03_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A04_BASE_ADDR</spirit:name> + <spirit:displayName>My M08_A04_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A04_BASE_ADDR" spirit:order="825" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A04_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A05_BASE_ADDR</spirit:name> + <spirit:displayName>My M08_A05_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A05_BASE_ADDR" spirit:order="826" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A05_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A06_BASE_ADDR</spirit:name> + <spirit:displayName>My M08_A06_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A06_BASE_ADDR" spirit:order="827" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A06_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A07_BASE_ADDR</spirit:name> + <spirit:displayName>My M08_A07_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A07_BASE_ADDR" spirit:order="828" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A07_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A08_BASE_ADDR</spirit:name> + <spirit:displayName>My M08_A08_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A08_BASE_ADDR" spirit:order="829" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A08_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A09_BASE_ADDR</spirit:name> + <spirit:displayName>My M08_A09_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A09_BASE_ADDR" spirit:order="830" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A09_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A10_BASE_ADDR</spirit:name> + <spirit:displayName>My M08_A10_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A10_BASE_ADDR" spirit:order="831" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A10_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A11_BASE_ADDR</spirit:name> + <spirit:displayName>My M08_A11_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A11_BASE_ADDR" spirit:order="832" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A11_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A12_BASE_ADDR</spirit:name> + <spirit:displayName>My M08_A12_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A12_BASE_ADDR" spirit:order="833" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A12_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A13_BASE_ADDR</spirit:name> + <spirit:displayName>My M08_A13_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A13_BASE_ADDR" spirit:order="834" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A13_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A14_BASE_ADDR</spirit:name> + <spirit:displayName>My M08_A14_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A14_BASE_ADDR" spirit:order="835" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A14_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A15_BASE_ADDR</spirit:name> + <spirit:displayName>My M08_A15_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A15_BASE_ADDR" spirit:order="836" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A15_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A00_BASE_ADDR</spirit:name> + <spirit:displayName>My M09_A00_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A00_BASE_ADDR" spirit:order="837" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A00_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A01_BASE_ADDR</spirit:name> + <spirit:displayName>My M09_A01_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A01_BASE_ADDR" spirit:order="838" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A01_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A02_BASE_ADDR</spirit:name> + <spirit:displayName>My M09_A02_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A02_BASE_ADDR" spirit:order="839" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A02_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A03_BASE_ADDR</spirit:name> + <spirit:displayName>My M09_A03_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A03_BASE_ADDR" spirit:order="840" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A03_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A04_BASE_ADDR</spirit:name> + <spirit:displayName>My M09_A04_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A04_BASE_ADDR" spirit:order="841" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A04_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A05_BASE_ADDR</spirit:name> + <spirit:displayName>My M09_A05_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A05_BASE_ADDR" spirit:order="842" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A05_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A06_BASE_ADDR</spirit:name> + <spirit:displayName>My M09_A06_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A06_BASE_ADDR" spirit:order="843" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A06_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A07_BASE_ADDR</spirit:name> + <spirit:displayName>My M09_A07_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A07_BASE_ADDR" spirit:order="844" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A07_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A08_BASE_ADDR</spirit:name> + <spirit:displayName>My M09_A08_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A08_BASE_ADDR" spirit:order="845" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A08_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A09_BASE_ADDR</spirit:name> + <spirit:displayName>My M09_A09_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A09_BASE_ADDR" spirit:order="846" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A09_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A10_BASE_ADDR</spirit:name> + <spirit:displayName>My M09_A10_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A10_BASE_ADDR" spirit:order="847" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A10_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A11_BASE_ADDR</spirit:name> + <spirit:displayName>My M09_A11_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A11_BASE_ADDR" spirit:order="848" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A11_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A12_BASE_ADDR</spirit:name> + <spirit:displayName>My M09_A12_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A12_BASE_ADDR" spirit:order="849" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A12_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A13_BASE_ADDR</spirit:name> + <spirit:displayName>My M09_A13_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A13_BASE_ADDR" spirit:order="850" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A13_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A14_BASE_ADDR</spirit:name> + <spirit:displayName>My M09_A14_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A14_BASE_ADDR" spirit:order="851" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A14_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A15_BASE_ADDR</spirit:name> + <spirit:displayName>My M09_A15_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A15_BASE_ADDR" spirit:order="852" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A15_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A00_BASE_ADDR</spirit:name> + <spirit:displayName>My M10_A00_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A00_BASE_ADDR" spirit:order="853" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A00_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A01_BASE_ADDR</spirit:name> + <spirit:displayName>My M10_A01_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A01_BASE_ADDR" spirit:order="854" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A01_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A02_BASE_ADDR</spirit:name> + <spirit:displayName>My M10_A02_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A02_BASE_ADDR" spirit:order="855" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A02_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A03_BASE_ADDR</spirit:name> + <spirit:displayName>My M10_A03_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A03_BASE_ADDR" spirit:order="856" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A03_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A04_BASE_ADDR</spirit:name> + <spirit:displayName>My M10_A04_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A04_BASE_ADDR" spirit:order="857" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A04_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A05_BASE_ADDR</spirit:name> + <spirit:displayName>My M10_A05_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A05_BASE_ADDR" spirit:order="858" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A05_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A06_BASE_ADDR</spirit:name> + <spirit:displayName>My M10_A06_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A06_BASE_ADDR" spirit:order="859" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A06_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A07_BASE_ADDR</spirit:name> + <spirit:displayName>My M10_A07_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A07_BASE_ADDR" spirit:order="860" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A07_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A08_BASE_ADDR</spirit:name> + <spirit:displayName>My M10_A08_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A08_BASE_ADDR" spirit:order="861" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A08_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A09_BASE_ADDR</spirit:name> + <spirit:displayName>My M10_A09_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A09_BASE_ADDR" spirit:order="862" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A09_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A10_BASE_ADDR</spirit:name> + <spirit:displayName>My M10_A10_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A10_BASE_ADDR" spirit:order="863" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A10_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A11_BASE_ADDR</spirit:name> + <spirit:displayName>My M10_A11_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A11_BASE_ADDR" spirit:order="864" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A11_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A12_BASE_ADDR</spirit:name> + <spirit:displayName>My M10_A12_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A12_BASE_ADDR" spirit:order="865" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A12_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A13_BASE_ADDR</spirit:name> + <spirit:displayName>My M10_A13_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A13_BASE_ADDR" spirit:order="866" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A13_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A14_BASE_ADDR</spirit:name> + <spirit:displayName>My M10_A14_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A14_BASE_ADDR" spirit:order="867" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A14_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A15_BASE_ADDR</spirit:name> + <spirit:displayName>My M10_A15_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A15_BASE_ADDR" spirit:order="868" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A15_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A00_BASE_ADDR</spirit:name> + <spirit:displayName>My M11_A00_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A00_BASE_ADDR" spirit:order="869" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A00_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A01_BASE_ADDR</spirit:name> + <spirit:displayName>My M11_A01_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A01_BASE_ADDR" spirit:order="870" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A01_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A02_BASE_ADDR</spirit:name> + <spirit:displayName>My M11_A02_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A02_BASE_ADDR" spirit:order="871" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A02_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A03_BASE_ADDR</spirit:name> + <spirit:displayName>My M11_A03_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A03_BASE_ADDR" spirit:order="872" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A03_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A04_BASE_ADDR</spirit:name> + <spirit:displayName>My M11_A04_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A04_BASE_ADDR" spirit:order="873" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A04_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A05_BASE_ADDR</spirit:name> + <spirit:displayName>My M11_A05_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A05_BASE_ADDR" spirit:order="874" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A05_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A06_BASE_ADDR</spirit:name> + <spirit:displayName>My M11_A06_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A06_BASE_ADDR" spirit:order="875" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A06_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A07_BASE_ADDR</spirit:name> + <spirit:displayName>My M11_A07_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A07_BASE_ADDR" spirit:order="876" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A07_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A08_BASE_ADDR</spirit:name> + <spirit:displayName>My M11_A08_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A08_BASE_ADDR" spirit:order="877" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A08_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A09_BASE_ADDR</spirit:name> + <spirit:displayName>My M11_A09_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A09_BASE_ADDR" spirit:order="878" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A09_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A10_BASE_ADDR</spirit:name> + <spirit:displayName>My M11_A10_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A10_BASE_ADDR" spirit:order="879" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A10_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A11_BASE_ADDR</spirit:name> + <spirit:displayName>My M11_A11_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A11_BASE_ADDR" spirit:order="880" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A11_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A12_BASE_ADDR</spirit:name> + <spirit:displayName>My M11_A12_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A12_BASE_ADDR" spirit:order="881" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A12_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A13_BASE_ADDR</spirit:name> + <spirit:displayName>My M11_A13_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A13_BASE_ADDR" spirit:order="882" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A13_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A14_BASE_ADDR</spirit:name> + <spirit:displayName>My M11_A14_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A14_BASE_ADDR" spirit:order="883" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A14_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A15_BASE_ADDR</spirit:name> + <spirit:displayName>My M11_A15_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A15_BASE_ADDR" spirit:order="884" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A15_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A00_BASE_ADDR</spirit:name> + <spirit:displayName>My M12_A00_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A00_BASE_ADDR" spirit:order="885" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A00_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A01_BASE_ADDR</spirit:name> + <spirit:displayName>My M12_A01_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A01_BASE_ADDR" spirit:order="886" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A01_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A02_BASE_ADDR</spirit:name> + <spirit:displayName>My M12_A02_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A02_BASE_ADDR" spirit:order="887" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A02_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A03_BASE_ADDR</spirit:name> + <spirit:displayName>My M12_A03_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A03_BASE_ADDR" spirit:order="888" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A03_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A04_BASE_ADDR</spirit:name> + <spirit:displayName>My M12_A04_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A04_BASE_ADDR" spirit:order="889" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A04_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A05_BASE_ADDR</spirit:name> + <spirit:displayName>My M12_A05_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A05_BASE_ADDR" spirit:order="890" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A05_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A06_BASE_ADDR</spirit:name> + <spirit:displayName>My M12_A06_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A06_BASE_ADDR" spirit:order="891" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A06_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A07_BASE_ADDR</spirit:name> + <spirit:displayName>My M12_A07_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A07_BASE_ADDR" spirit:order="892" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A07_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A08_BASE_ADDR</spirit:name> + <spirit:displayName>My M12_A08_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A08_BASE_ADDR" spirit:order="893" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A08_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A09_BASE_ADDR</spirit:name> + <spirit:displayName>My M12_A09_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A09_BASE_ADDR" spirit:order="894" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A09_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A10_BASE_ADDR</spirit:name> + <spirit:displayName>My M12_A10_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A10_BASE_ADDR" spirit:order="895" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A10_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A11_BASE_ADDR</spirit:name> + <spirit:displayName>My M12_A11_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A11_BASE_ADDR" spirit:order="896" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A11_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A12_BASE_ADDR</spirit:name> + <spirit:displayName>My M12_A12_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A12_BASE_ADDR" spirit:order="897" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A12_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A13_BASE_ADDR</spirit:name> + <spirit:displayName>My M12_A13_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A13_BASE_ADDR" spirit:order="898" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A13_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A14_BASE_ADDR</spirit:name> + <spirit:displayName>My M12_A14_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A14_BASE_ADDR" spirit:order="899" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A14_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A15_BASE_ADDR</spirit:name> + <spirit:displayName>My M12_A15_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A15_BASE_ADDR" spirit:order="900" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A15_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A00_BASE_ADDR</spirit:name> + <spirit:displayName>My M13_A00_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A00_BASE_ADDR" spirit:order="901" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A00_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A01_BASE_ADDR</spirit:name> + <spirit:displayName>My M13_A01_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A01_BASE_ADDR" spirit:order="902" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A01_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A02_BASE_ADDR</spirit:name> + <spirit:displayName>My M13_A02_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A02_BASE_ADDR" spirit:order="903" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A02_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A03_BASE_ADDR</spirit:name> + <spirit:displayName>My M13_A03_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A03_BASE_ADDR" spirit:order="904" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A03_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A04_BASE_ADDR</spirit:name> + <spirit:displayName>My M13_A04_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A04_BASE_ADDR" spirit:order="905" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A04_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A05_BASE_ADDR</spirit:name> + <spirit:displayName>My M13_A05_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A05_BASE_ADDR" spirit:order="906" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A05_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A06_BASE_ADDR</spirit:name> + <spirit:displayName>My M13_A06_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A06_BASE_ADDR" spirit:order="907" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A06_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A07_BASE_ADDR</spirit:name> + <spirit:displayName>My M13_A07_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A07_BASE_ADDR" spirit:order="908" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A07_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A08_BASE_ADDR</spirit:name> + <spirit:displayName>My M13_A08_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A08_BASE_ADDR" spirit:order="909" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A08_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A09_BASE_ADDR</spirit:name> + <spirit:displayName>My M13_A09_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A09_BASE_ADDR" spirit:order="910" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A09_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A10_BASE_ADDR</spirit:name> + <spirit:displayName>My M13_A10_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A10_BASE_ADDR" spirit:order="911" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A10_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A11_BASE_ADDR</spirit:name> + <spirit:displayName>My M13_A11_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A11_BASE_ADDR" spirit:order="912" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A11_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A12_BASE_ADDR</spirit:name> + <spirit:displayName>My M13_A12_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A12_BASE_ADDR" spirit:order="913" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A12_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A13_BASE_ADDR</spirit:name> + <spirit:displayName>My M13_A13_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A13_BASE_ADDR" spirit:order="914" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A13_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A14_BASE_ADDR</spirit:name> + <spirit:displayName>My M13_A14_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A14_BASE_ADDR" spirit:order="915" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A14_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A15_BASE_ADDR</spirit:name> + <spirit:displayName>My M13_A15_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A15_BASE_ADDR" spirit:order="916" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A15_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A00_BASE_ADDR</spirit:name> + <spirit:displayName>My M14_A00_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A00_BASE_ADDR" spirit:order="917" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A00_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A01_BASE_ADDR</spirit:name> + <spirit:displayName>My M14_A01_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A01_BASE_ADDR" spirit:order="918" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A01_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A02_BASE_ADDR</spirit:name> + <spirit:displayName>My M14_A02_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A02_BASE_ADDR" spirit:order="919" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A02_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A03_BASE_ADDR</spirit:name> + <spirit:displayName>My M14_A03_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A03_BASE_ADDR" spirit:order="920" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A03_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A04_BASE_ADDR</spirit:name> + <spirit:displayName>My M14_A04_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A04_BASE_ADDR" spirit:order="921" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A04_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A05_BASE_ADDR</spirit:name> + <spirit:displayName>My M14_A05_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A05_BASE_ADDR" spirit:order="922" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A05_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A06_BASE_ADDR</spirit:name> + <spirit:displayName>My M14_A06_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A06_BASE_ADDR" spirit:order="923" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A06_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A07_BASE_ADDR</spirit:name> + <spirit:displayName>My M14_A07_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A07_BASE_ADDR" spirit:order="924" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A07_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A08_BASE_ADDR</spirit:name> + <spirit:displayName>My M14_A08_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A08_BASE_ADDR" spirit:order="925" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A08_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A09_BASE_ADDR</spirit:name> + <spirit:displayName>My M14_A09_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A09_BASE_ADDR" spirit:order="926" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A09_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A10_BASE_ADDR</spirit:name> + <spirit:displayName>My M14_A10_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A10_BASE_ADDR" spirit:order="927" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A10_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A11_BASE_ADDR</spirit:name> + <spirit:displayName>My M14_A11_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A11_BASE_ADDR" spirit:order="928" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A11_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A12_BASE_ADDR</spirit:name> + <spirit:displayName>My M14_A12_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A12_BASE_ADDR" spirit:order="929" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A12_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A13_BASE_ADDR</spirit:name> + <spirit:displayName>My M14_A13_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A13_BASE_ADDR" spirit:order="930" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A13_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A14_BASE_ADDR</spirit:name> + <spirit:displayName>My M14_A14_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A14_BASE_ADDR" spirit:order="931" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A14_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A15_BASE_ADDR</spirit:name> + <spirit:displayName>My M14_A15_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A15_BASE_ADDR" spirit:order="932" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A15_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A00_BASE_ADDR</spirit:name> + <spirit:displayName>My M15_A00_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A00_BASE_ADDR" spirit:order="933" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A00_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A01_BASE_ADDR</spirit:name> + <spirit:displayName>My M15_A01_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A01_BASE_ADDR" spirit:order="934" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A01_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A02_BASE_ADDR</spirit:name> + <spirit:displayName>My M15_A02_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A02_BASE_ADDR" spirit:order="935" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A02_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A03_BASE_ADDR</spirit:name> + <spirit:displayName>My M15_A03_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A03_BASE_ADDR" spirit:order="936" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A03_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A04_BASE_ADDR</spirit:name> + <spirit:displayName>My M15_A04_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A04_BASE_ADDR" spirit:order="937" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A04_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A05_BASE_ADDR</spirit:name> + <spirit:displayName>My M15_A05_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A05_BASE_ADDR" spirit:order="938" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A05_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A06_BASE_ADDR</spirit:name> + <spirit:displayName>My M15_A06_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A06_BASE_ADDR" spirit:order="939" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A06_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A07_BASE_ADDR</spirit:name> + <spirit:displayName>My M15_A07_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A07_BASE_ADDR" spirit:order="940" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A07_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A08_BASE_ADDR</spirit:name> + <spirit:displayName>My M15_A08_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A08_BASE_ADDR" spirit:order="941" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A08_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A09_BASE_ADDR</spirit:name> + <spirit:displayName>My M15_A09_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A09_BASE_ADDR" spirit:order="942" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A09_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A10_BASE_ADDR</spirit:name> + <spirit:displayName>My M15_A10_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A10_BASE_ADDR" spirit:order="943" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A10_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A11_BASE_ADDR</spirit:name> + <spirit:displayName>My M15_A11_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A11_BASE_ADDR" spirit:order="944" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A11_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A12_BASE_ADDR</spirit:name> + <spirit:displayName>My M15_A12_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A12_BASE_ADDR" spirit:order="945" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A12_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A13_BASE_ADDR</spirit:name> + <spirit:displayName>My M15_A13_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A13_BASE_ADDR" spirit:order="946" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A13_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A14_BASE_ADDR</spirit:name> + <spirit:displayName>My M15_A14_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A14_BASE_ADDR" spirit:order="947" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A14_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A15_BASE_ADDR</spirit:name> + <spirit:displayName>My M15_A15_BASE_ADDR</spirit:displayName> + <spirit:value spirit:format="bitString" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A15_BASE_ADDR" spirit:order="948" spirit:bitStringLength="64">0xffffffffffffffff</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A15_BASE_ADDR">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A00_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M00_A00_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A00_ADDR_WIDTH" spirit:order="949" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">16</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A00_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A01_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M00_A01_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A01_ADDR_WIDTH" spirit:order="950" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A01_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A02_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M00_A02_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A02_ADDR_WIDTH" spirit:order="951" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A02_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A03_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M00_A03_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A03_ADDR_WIDTH" spirit:order="952" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A03_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A04_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M00_A04_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A04_ADDR_WIDTH" spirit:order="953" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A04_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A05_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M00_A05_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A05_ADDR_WIDTH" spirit:order="954" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A05_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A06_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M00_A06_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A06_ADDR_WIDTH" spirit:order="955" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A06_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A07_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M00_A07_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A07_ADDR_WIDTH" spirit:order="956" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A07_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A08_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M00_A08_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A08_ADDR_WIDTH" spirit:order="957" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A08_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A09_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M00_A09_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A09_ADDR_WIDTH" spirit:order="958" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A09_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A10_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M00_A10_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A10_ADDR_WIDTH" spirit:order="959" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A10_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A11_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M00_A11_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A11_ADDR_WIDTH" spirit:order="960" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A11_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A12_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M00_A12_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A12_ADDR_WIDTH" spirit:order="961" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A12_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A13_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M00_A13_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A13_ADDR_WIDTH" spirit:order="962" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A13_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A14_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M00_A14_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A14_ADDR_WIDTH" spirit:order="963" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A14_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M00_A15_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M00_A15_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M00_A15_ADDR_WIDTH" spirit:order="964" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M00_A15_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A00_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M01_A00_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A00_ADDR_WIDTH" spirit:order="965" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A00_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A01_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M01_A01_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A01_ADDR_WIDTH" spirit:order="966" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A01_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A02_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M01_A02_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A02_ADDR_WIDTH" spirit:order="967" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A02_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A03_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M01_A03_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A03_ADDR_WIDTH" spirit:order="968" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A03_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A04_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M01_A04_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A04_ADDR_WIDTH" spirit:order="969" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A04_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A05_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M01_A05_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A05_ADDR_WIDTH" spirit:order="970" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A05_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A06_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M01_A06_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A06_ADDR_WIDTH" spirit:order="971" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A06_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A07_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M01_A07_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A07_ADDR_WIDTH" spirit:order="972" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A07_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A08_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M01_A08_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A08_ADDR_WIDTH" spirit:order="973" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A08_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A09_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M01_A09_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A09_ADDR_WIDTH" spirit:order="974" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A09_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A10_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M01_A10_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A10_ADDR_WIDTH" spirit:order="975" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A10_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A11_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M01_A11_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A11_ADDR_WIDTH" spirit:order="976" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A11_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A12_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M01_A12_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A12_ADDR_WIDTH" spirit:order="977" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A12_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A13_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M01_A13_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A13_ADDR_WIDTH" spirit:order="978" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A13_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A14_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M01_A14_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A14_ADDR_WIDTH" spirit:order="979" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A14_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M01_A15_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M01_A15_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M01_A15_ADDR_WIDTH" spirit:order="980" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M01_A15_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A00_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M02_A00_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A00_ADDR_WIDTH" spirit:order="981" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A00_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A01_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M02_A01_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A01_ADDR_WIDTH" spirit:order="982" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A01_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A02_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M02_A02_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A02_ADDR_WIDTH" spirit:order="983" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A02_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A03_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M02_A03_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A03_ADDR_WIDTH" spirit:order="984" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A03_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A04_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M02_A04_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A04_ADDR_WIDTH" spirit:order="985" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A04_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A05_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M02_A05_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A05_ADDR_WIDTH" spirit:order="986" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A05_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A06_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M02_A06_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A06_ADDR_WIDTH" spirit:order="987" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A06_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A07_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M02_A07_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A07_ADDR_WIDTH" spirit:order="988" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A07_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A08_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M02_A08_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A08_ADDR_WIDTH" spirit:order="989" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A08_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A09_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M02_A09_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A09_ADDR_WIDTH" spirit:order="990" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A09_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A10_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M02_A10_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A10_ADDR_WIDTH" spirit:order="991" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A10_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A11_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M02_A11_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A11_ADDR_WIDTH" spirit:order="992" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A11_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A12_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M02_A12_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A12_ADDR_WIDTH" spirit:order="993" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A12_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A13_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M02_A13_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A13_ADDR_WIDTH" spirit:order="994" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A13_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A14_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M02_A14_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A14_ADDR_WIDTH" spirit:order="995" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A14_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M02_A15_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M02_A15_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M02_A15_ADDR_WIDTH" spirit:order="996" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M02_A15_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A00_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M03_A00_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A00_ADDR_WIDTH" spirit:order="997" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A00_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A01_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M03_A01_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A01_ADDR_WIDTH" spirit:order="998" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A01_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A02_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M03_A02_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A02_ADDR_WIDTH" spirit:order="999" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A02_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A03_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M03_A03_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A03_ADDR_WIDTH" spirit:order="1000" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A03_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A04_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M03_A04_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A04_ADDR_WIDTH" spirit:order="1001" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A04_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A05_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M03_A05_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A05_ADDR_WIDTH" spirit:order="1002" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A05_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A06_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M03_A06_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A06_ADDR_WIDTH" spirit:order="1003" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A06_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A07_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M03_A07_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A07_ADDR_WIDTH" spirit:order="1004" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A07_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A08_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M03_A08_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A08_ADDR_WIDTH" spirit:order="1005" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A08_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A09_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M03_A09_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A09_ADDR_WIDTH" spirit:order="1006" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A09_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A10_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M03_A10_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A10_ADDR_WIDTH" spirit:order="1007" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A10_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A11_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M03_A11_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A11_ADDR_WIDTH" spirit:order="1008" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A11_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A12_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M03_A12_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A12_ADDR_WIDTH" spirit:order="1009" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A12_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A13_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M03_A13_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A13_ADDR_WIDTH" spirit:order="1010" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A13_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A14_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M03_A14_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A14_ADDR_WIDTH" spirit:order="1011" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A14_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M03_A15_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M03_A15_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M03_A15_ADDR_WIDTH" spirit:order="1012" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M03_A15_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A00_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M04_A00_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A00_ADDR_WIDTH" spirit:order="1013" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A00_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A01_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M04_A01_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A01_ADDR_WIDTH" spirit:order="1014" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A01_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A02_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M04_A02_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A02_ADDR_WIDTH" spirit:order="1015" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A02_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A03_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M04_A03_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A03_ADDR_WIDTH" spirit:order="1016" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A03_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A04_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M04_A04_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A04_ADDR_WIDTH" spirit:order="1017" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A04_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A05_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M04_A05_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A05_ADDR_WIDTH" spirit:order="1018" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A05_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A06_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M04_A06_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A06_ADDR_WIDTH" spirit:order="1019" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A06_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A07_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M04_A07_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A07_ADDR_WIDTH" spirit:order="1020" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A07_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A08_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M04_A08_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A08_ADDR_WIDTH" spirit:order="1021" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A08_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A09_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M04_A09_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A09_ADDR_WIDTH" spirit:order="1022" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A09_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A10_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M04_A10_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A10_ADDR_WIDTH" spirit:order="1023" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A10_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A11_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M04_A11_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A11_ADDR_WIDTH" spirit:order="1024" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A11_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A12_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M04_A12_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A12_ADDR_WIDTH" spirit:order="1025" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A12_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A13_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M04_A13_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A13_ADDR_WIDTH" spirit:order="1026" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A13_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A14_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M04_A14_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A14_ADDR_WIDTH" spirit:order="1027" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A14_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M04_A15_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M04_A15_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M04_A15_ADDR_WIDTH" spirit:order="1028" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M04_A15_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A00_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M05_A00_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A00_ADDR_WIDTH" spirit:order="1029" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A00_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A01_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M05_A01_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A01_ADDR_WIDTH" spirit:order="1030" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A01_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A02_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M05_A02_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A02_ADDR_WIDTH" spirit:order="1031" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A02_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A03_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M05_A03_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A03_ADDR_WIDTH" spirit:order="1032" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A03_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A04_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M05_A04_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A04_ADDR_WIDTH" spirit:order="1033" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A04_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A05_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M05_A05_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A05_ADDR_WIDTH" spirit:order="1034" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A05_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A06_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M05_A06_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A06_ADDR_WIDTH" spirit:order="1035" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A06_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A07_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M05_A07_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A07_ADDR_WIDTH" spirit:order="1036" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A07_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A08_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M05_A08_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A08_ADDR_WIDTH" spirit:order="1037" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A08_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A09_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M05_A09_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A09_ADDR_WIDTH" spirit:order="1038" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A09_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A10_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M05_A10_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A10_ADDR_WIDTH" spirit:order="1039" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A10_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A11_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M05_A11_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A11_ADDR_WIDTH" spirit:order="1040" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A11_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A12_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M05_A12_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A12_ADDR_WIDTH" spirit:order="1041" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A12_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A13_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M05_A13_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A13_ADDR_WIDTH" spirit:order="1042" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A13_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A14_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M05_A14_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A14_ADDR_WIDTH" spirit:order="1043" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A14_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M05_A15_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M05_A15_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M05_A15_ADDR_WIDTH" spirit:order="1044" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M05_A15_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A00_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M06_A00_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A00_ADDR_WIDTH" spirit:order="1045" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A00_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A01_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M06_A01_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A01_ADDR_WIDTH" spirit:order="1046" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A01_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A02_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M06_A02_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A02_ADDR_WIDTH" spirit:order="1047" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A02_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A03_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M06_A03_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A03_ADDR_WIDTH" spirit:order="1048" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A03_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A04_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M06_A04_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A04_ADDR_WIDTH" spirit:order="1049" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A04_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A05_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M06_A05_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A05_ADDR_WIDTH" spirit:order="1050" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A05_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A06_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M06_A06_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A06_ADDR_WIDTH" spirit:order="1051" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A06_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A07_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M06_A07_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A07_ADDR_WIDTH" spirit:order="1052" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A07_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A08_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M06_A08_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A08_ADDR_WIDTH" spirit:order="1053" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A08_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A09_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M06_A09_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A09_ADDR_WIDTH" spirit:order="1054" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A09_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A10_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M06_A10_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A10_ADDR_WIDTH" spirit:order="1055" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A10_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A11_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M06_A11_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A11_ADDR_WIDTH" spirit:order="1056" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A11_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A12_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M06_A12_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A12_ADDR_WIDTH" spirit:order="1057" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A12_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A13_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M06_A13_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A13_ADDR_WIDTH" spirit:order="1058" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A13_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A14_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M06_A14_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A14_ADDR_WIDTH" spirit:order="1059" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A14_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M06_A15_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M06_A15_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M06_A15_ADDR_WIDTH" spirit:order="1060" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M06_A15_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A00_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M07_A00_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A00_ADDR_WIDTH" spirit:order="1061" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A00_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A01_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M07_A01_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A01_ADDR_WIDTH" spirit:order="1062" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A01_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A02_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M07_A02_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A02_ADDR_WIDTH" spirit:order="1063" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A02_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A03_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M07_A03_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A03_ADDR_WIDTH" spirit:order="1064" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A03_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A04_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M07_A04_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A04_ADDR_WIDTH" spirit:order="1065" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A04_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A05_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M07_A05_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A05_ADDR_WIDTH" spirit:order="1066" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A05_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A06_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M07_A06_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A06_ADDR_WIDTH" spirit:order="1067" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A06_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A07_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M07_A07_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A07_ADDR_WIDTH" spirit:order="1068" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A07_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A08_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M07_A08_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A08_ADDR_WIDTH" spirit:order="1069" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A08_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A09_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M07_A09_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A09_ADDR_WIDTH" spirit:order="1070" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A09_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A10_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M07_A10_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A10_ADDR_WIDTH" spirit:order="1071" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A10_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A11_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M07_A11_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A11_ADDR_WIDTH" spirit:order="1072" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A11_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A12_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M07_A12_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A12_ADDR_WIDTH" spirit:order="1073" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A12_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A13_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M07_A13_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A13_ADDR_WIDTH" spirit:order="1074" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A13_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A14_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M07_A14_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A14_ADDR_WIDTH" spirit:order="1075" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A14_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M07_A15_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M07_A15_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M07_A15_ADDR_WIDTH" spirit:order="1076" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M07_A15_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A00_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M08_A00_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A00_ADDR_WIDTH" spirit:order="1077" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A00_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A01_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M08_A01_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A01_ADDR_WIDTH" spirit:order="1078" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A01_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A02_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M08_A02_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A02_ADDR_WIDTH" spirit:order="1079" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A02_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A03_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M08_A03_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A03_ADDR_WIDTH" spirit:order="1080" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A03_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A04_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M08_A04_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A04_ADDR_WIDTH" spirit:order="1081" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A04_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A05_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M08_A05_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A05_ADDR_WIDTH" spirit:order="1082" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A05_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A06_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M08_A06_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A06_ADDR_WIDTH" spirit:order="1083" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A06_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A07_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M08_A07_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A07_ADDR_WIDTH" spirit:order="1084" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A07_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A08_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M08_A08_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A08_ADDR_WIDTH" spirit:order="1085" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A08_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A09_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M08_A09_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A09_ADDR_WIDTH" spirit:order="1086" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A09_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A10_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M08_A10_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A10_ADDR_WIDTH" spirit:order="1087" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A10_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A11_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M08_A11_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A11_ADDR_WIDTH" spirit:order="1088" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A11_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A12_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M08_A12_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A12_ADDR_WIDTH" spirit:order="1089" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A12_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A13_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M08_A13_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A13_ADDR_WIDTH" spirit:order="1090" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A13_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A14_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M08_A14_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A14_ADDR_WIDTH" spirit:order="1091" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A14_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M08_A15_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M08_A15_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M08_A15_ADDR_WIDTH" spirit:order="1092" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M08_A15_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A00_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M09_A00_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A00_ADDR_WIDTH" spirit:order="1093" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A00_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A01_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M09_A01_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A01_ADDR_WIDTH" spirit:order="1094" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A01_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A02_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M09_A02_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A02_ADDR_WIDTH" spirit:order="1095" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A02_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A03_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M09_A03_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A03_ADDR_WIDTH" spirit:order="1096" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A03_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A04_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M09_A04_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A04_ADDR_WIDTH" spirit:order="1097" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A04_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A05_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M09_A05_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A05_ADDR_WIDTH" spirit:order="1098" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A05_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A06_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M09_A06_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A06_ADDR_WIDTH" spirit:order="1099" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A06_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A07_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M09_A07_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A07_ADDR_WIDTH" spirit:order="1100" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A07_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A08_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M09_A08_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A08_ADDR_WIDTH" spirit:order="1101" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A08_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A09_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M09_A09_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A09_ADDR_WIDTH" spirit:order="1102" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A09_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A10_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M09_A10_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A10_ADDR_WIDTH" spirit:order="1103" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A10_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A11_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M09_A11_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A11_ADDR_WIDTH" spirit:order="1104" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A11_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A12_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M09_A12_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A12_ADDR_WIDTH" spirit:order="1105" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A12_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A13_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M09_A13_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A13_ADDR_WIDTH" spirit:order="1106" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A13_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A14_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M09_A14_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A14_ADDR_WIDTH" spirit:order="1107" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A14_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M09_A15_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M09_A15_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M09_A15_ADDR_WIDTH" spirit:order="1108" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M09_A15_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A00_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M10_A00_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A00_ADDR_WIDTH" spirit:order="1109" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A00_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A01_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M10_A01_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A01_ADDR_WIDTH" spirit:order="1110" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A01_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A02_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M10_A02_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A02_ADDR_WIDTH" spirit:order="1111" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A02_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A03_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M10_A03_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A03_ADDR_WIDTH" spirit:order="1112" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A03_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A04_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M10_A04_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A04_ADDR_WIDTH" spirit:order="1113" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A04_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A05_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M10_A05_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A05_ADDR_WIDTH" spirit:order="1114" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A05_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A06_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M10_A06_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A06_ADDR_WIDTH" spirit:order="1115" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A06_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A07_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M10_A07_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A07_ADDR_WIDTH" spirit:order="1116" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A07_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A08_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M10_A08_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A08_ADDR_WIDTH" spirit:order="1117" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A08_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A09_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M10_A09_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A09_ADDR_WIDTH" spirit:order="1118" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A09_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A10_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M10_A10_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A10_ADDR_WIDTH" spirit:order="1119" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A10_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A11_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M10_A11_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A11_ADDR_WIDTH" spirit:order="1120" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A11_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A12_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M10_A12_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A12_ADDR_WIDTH" spirit:order="1121" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A12_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A13_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M10_A13_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A13_ADDR_WIDTH" spirit:order="1122" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A13_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A14_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M10_A14_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A14_ADDR_WIDTH" spirit:order="1123" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A14_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M10_A15_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M10_A15_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M10_A15_ADDR_WIDTH" spirit:order="1124" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M10_A15_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A00_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M11_A00_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A00_ADDR_WIDTH" spirit:order="1125" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A00_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A01_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M11_A01_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A01_ADDR_WIDTH" spirit:order="1126" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A01_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A02_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M11_A02_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A02_ADDR_WIDTH" spirit:order="1127" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A02_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A03_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M11_A03_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A03_ADDR_WIDTH" spirit:order="1128" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A03_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A04_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M11_A04_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A04_ADDR_WIDTH" spirit:order="1129" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A04_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A05_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M11_A05_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A05_ADDR_WIDTH" spirit:order="1130" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A05_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A06_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M11_A06_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A06_ADDR_WIDTH" spirit:order="1131" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A06_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A07_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M11_A07_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A07_ADDR_WIDTH" spirit:order="1132" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A07_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A08_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M11_A08_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A08_ADDR_WIDTH" spirit:order="1133" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A08_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A09_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M11_A09_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A09_ADDR_WIDTH" spirit:order="1134" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A09_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A10_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M11_A10_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A10_ADDR_WIDTH" spirit:order="1135" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A10_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A11_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M11_A11_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A11_ADDR_WIDTH" spirit:order="1136" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A11_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A12_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M11_A12_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A12_ADDR_WIDTH" spirit:order="1137" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A12_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A13_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M11_A13_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A13_ADDR_WIDTH" spirit:order="1138" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A13_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A14_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M11_A14_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A14_ADDR_WIDTH" spirit:order="1139" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A14_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M11_A15_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M11_A15_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M11_A15_ADDR_WIDTH" spirit:order="1140" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M11_A15_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A00_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M12_A00_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A00_ADDR_WIDTH" spirit:order="1141" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A00_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A01_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M12_A01_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A01_ADDR_WIDTH" spirit:order="1142" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A01_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A02_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M12_A02_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A02_ADDR_WIDTH" spirit:order="1143" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A02_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A03_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M12_A03_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A03_ADDR_WIDTH" spirit:order="1144" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A03_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A04_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M12_A04_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A04_ADDR_WIDTH" spirit:order="1145" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A04_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A05_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M12_A05_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A05_ADDR_WIDTH" spirit:order="1146" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A05_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A06_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M12_A06_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A06_ADDR_WIDTH" spirit:order="1147" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A06_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A07_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M12_A07_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A07_ADDR_WIDTH" spirit:order="1148" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A07_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A08_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M12_A08_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A08_ADDR_WIDTH" spirit:order="1149" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A08_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A09_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M12_A09_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A09_ADDR_WIDTH" spirit:order="1150" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A09_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A10_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M12_A10_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A10_ADDR_WIDTH" spirit:order="1151" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A10_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A11_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M12_A11_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A11_ADDR_WIDTH" spirit:order="1152" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A11_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A12_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M12_A12_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A12_ADDR_WIDTH" spirit:order="1153" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A12_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A13_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M12_A13_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A13_ADDR_WIDTH" spirit:order="1154" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A13_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A14_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M12_A14_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A14_ADDR_WIDTH" spirit:order="1155" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A14_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M12_A15_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M12_A15_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M12_A15_ADDR_WIDTH" spirit:order="1156" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M12_A15_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A00_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M13_A00_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A00_ADDR_WIDTH" spirit:order="1157" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A00_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A01_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M13_A01_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A01_ADDR_WIDTH" spirit:order="1158" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A01_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A02_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M13_A02_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A02_ADDR_WIDTH" spirit:order="1159" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A02_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A03_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M13_A03_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A03_ADDR_WIDTH" spirit:order="1160" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A03_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A04_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M13_A04_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A04_ADDR_WIDTH" spirit:order="1161" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A04_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A05_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M13_A05_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A05_ADDR_WIDTH" spirit:order="1162" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A05_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A06_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M13_A06_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A06_ADDR_WIDTH" spirit:order="1163" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A06_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A07_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M13_A07_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A07_ADDR_WIDTH" spirit:order="1164" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A07_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A08_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M13_A08_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A08_ADDR_WIDTH" spirit:order="1165" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A08_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A09_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M13_A09_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A09_ADDR_WIDTH" spirit:order="1166" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A09_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A10_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M13_A10_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A10_ADDR_WIDTH" spirit:order="1167" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A10_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A11_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M13_A11_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A11_ADDR_WIDTH" spirit:order="1168" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A11_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A12_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M13_A12_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A12_ADDR_WIDTH" spirit:order="1169" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A12_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A13_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M13_A13_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A13_ADDR_WIDTH" spirit:order="1170" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A13_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A14_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M13_A14_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A14_ADDR_WIDTH" spirit:order="1171" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A14_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M13_A15_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M13_A15_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M13_A15_ADDR_WIDTH" spirit:order="1172" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M13_A15_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A00_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M14_A00_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A00_ADDR_WIDTH" spirit:order="1173" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A00_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A01_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M14_A01_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A01_ADDR_WIDTH" spirit:order="1174" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A01_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A02_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M14_A02_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A02_ADDR_WIDTH" spirit:order="1175" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A02_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A03_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M14_A03_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A03_ADDR_WIDTH" spirit:order="1176" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A03_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A04_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M14_A04_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A04_ADDR_WIDTH" spirit:order="1177" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A04_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A05_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M14_A05_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A05_ADDR_WIDTH" spirit:order="1178" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A05_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A06_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M14_A06_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A06_ADDR_WIDTH" spirit:order="1179" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A06_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A07_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M14_A07_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A07_ADDR_WIDTH" spirit:order="1180" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A07_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A08_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M14_A08_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A08_ADDR_WIDTH" spirit:order="1181" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A08_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A09_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M14_A09_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A09_ADDR_WIDTH" spirit:order="1182" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A09_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A10_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M14_A10_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A10_ADDR_WIDTH" spirit:order="1183" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A10_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A11_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M14_A11_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A11_ADDR_WIDTH" spirit:order="1184" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A11_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A12_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M14_A12_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A12_ADDR_WIDTH" spirit:order="1185" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A12_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A13_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M14_A13_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A13_ADDR_WIDTH" spirit:order="1186" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A13_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A14_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M14_A14_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A14_ADDR_WIDTH" spirit:order="1187" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A14_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M14_A15_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M14_A15_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M14_A15_ADDR_WIDTH" spirit:order="1188" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M14_A15_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A00_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M15_A00_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A00_ADDR_WIDTH" spirit:order="1189" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A00_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A01_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M15_A01_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A01_ADDR_WIDTH" spirit:order="1190" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A01_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A02_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M15_A02_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A02_ADDR_WIDTH" spirit:order="1191" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A02_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A03_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M15_A03_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A03_ADDR_WIDTH" spirit:order="1192" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A03_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A04_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M15_A04_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A04_ADDR_WIDTH" spirit:order="1193" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A04_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A05_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M15_A05_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A05_ADDR_WIDTH" spirit:order="1194" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A05_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A06_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M15_A06_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A06_ADDR_WIDTH" spirit:order="1195" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A06_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A07_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M15_A07_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A07_ADDR_WIDTH" spirit:order="1196" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A07_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A08_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M15_A08_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A08_ADDR_WIDTH" spirit:order="1197" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A08_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A09_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M15_A09_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A09_ADDR_WIDTH" spirit:order="1198" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A09_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A10_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M15_A10_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A10_ADDR_WIDTH" spirit:order="1199" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A10_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A11_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M15_A11_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A11_ADDR_WIDTH" spirit:order="1200" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A11_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A12_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M15_A12_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A12_ADDR_WIDTH" spirit:order="1201" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A12_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A13_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M15_A13_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A13_ADDR_WIDTH" spirit:order="1202" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A13_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A14_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M15_A14_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A14_ADDR_WIDTH" spirit:order="1203" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A14_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>M15_A15_ADDR_WIDTH</spirit:name> + <spirit:displayName>My M15_A15_ADDR_WIDTH</spirit:displayName> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.M15_A15_ADDR_WIDTH" spirit:order="1204" spirit:minimum="0" spirit:maximum="64" spirit:rangeType="long">0</spirit:value> + <spirit:vendorExtensions> + <xilinx:parameterInfo> + <xilinx:enablement> + <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.M15_A15_ADDR_WIDTH">true</xilinx:isEnabled> + </xilinx:enablement> + </xilinx:parameterInfo> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>Component_Name</spirit:name> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">system_design_xbar_1</spirit:value> + </spirit:parameter> + </spirit:parameters> + <spirit:vendorExtensions> + <xilinx:coreExtensions> + <xilinx:displayName>AXI Crossbar</xilinx:displayName> + <xilinx:coreRevision>10</xilinx:coreRevision> + <xilinx:configElementInfos> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLKIF.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.CLKIF.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.ADDR_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_BURST" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_CACHE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_LOCK" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_QOS" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_REGION" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_RRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M00_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_BURST" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_CACHE" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_LOCK" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_QOS" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_REGION" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_RRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.MAX_BURST_LENGTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.NUM_READ_OUTSTANDING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.NUM_WRITE_OUTSTANDING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M01_AXI.SUPPORTS_NARROW_BURST" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.RSTIF.POLARITY" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ADDR_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ARUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.AWUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.BUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.CLK_DOMAIN" xilinx:valueSource="default_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.DATA_WIDTH" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.FREQ_HZ" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_BRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_PROT" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_REGION" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_RRESP" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.HAS_WSTRB" xilinx:valueSource="constant"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.PROTOCOL" xilinx:valueSource="user_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.RUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S00_AXI.WUSER_WIDTH" xilinx:valueSource="constant_prop"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ADDR_RANGES" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ADDR_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ARUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.AWUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.BUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.DATA_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_A00_ADDR_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_A00_BASE_ADDR" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_READ_ISSUING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_S00_READ_CONNECTIVITY" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_S00_WRITE_CONNECTIVITY" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M00_WRITE_ISSUING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M01_READ_ISSUING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M01_WRITE_ISSUING" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.NUM_MI" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.NUM_SI" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.PROTOCOL" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RUSER_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.S00_READ_ACCEPTANCE" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.S00_THREAD_ID_WIDTH" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.S00_WRITE_ACCEPTANCE" xilinx:valueSource="propagated"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.STRATEGY" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.WUSER_WIDTH" xilinx:valueSource="propagated"/> + </xilinx:configElementInfos> + </xilinx:coreExtensions> + <xilinx:packagingInfo> + <xilinx:xilinxVersion>2016.2</xilinx:xilinxVersion> + <xilinx:checksum xilinx:scope="busInterfaces" xilinx:value="a8580f42"/> + <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="f2abb344"/> + <xilinx:checksum xilinx:scope="ports" xilinx:value="3c7b3497"/> + <xilinx:checksum xilinx:scope="hdlParameters" xilinx:value="ef408429"/> + <xilinx:checksum xilinx:scope="parameters" xilinx:value="6e16d5c1"/> + </xilinx:packagingInfo> + </spirit:vendorExtensions> +</spirit:component> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_ooc.xdc b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_ooc.xdc new file mode 100644 index 0000000000000000000000000000000000000000..8808ceb4aec5c51eb9acf25ab851e7d7e942ea6a --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_ooc.xdc @@ -0,0 +1,57 @@ +# (c) Copyright 2012-2017 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +# DO NOT MODIFY THIS FILE. +# ######################################################### +# +# This XDC is used only in OOC mode for synthesis, implementation +# +# ######################################################### + + +create_clock -period 16 -name aclk [get_ports aclk] + + diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_sim_netlist.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_sim_netlist.v new file mode 100644 index 0000000000000000000000000000000000000000..2197657369519272c7b067f5ef4d6faeb7d93d5e --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_sim_netlist.v @@ -0,0 +1,14919 @@ +// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +// Date : Mon Dec 18 11:25:30 2017 +// Host : lapte24154 running 64-bit openSUSE Leap 42.2 +// Command : write_verilog -force -mode funcsim +// /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_sim_netlist.v +// Design : system_design_xbar_1 +// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified +// or synthesized. This netlist cannot be used for SDF annotated simulation. +// Device : xc7z030ffg676-2 +// -------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +(* CHECK_LICENSE_TYPE = "system_design_xbar_1,axi_crossbar_v2_1_10_axi_crossbar,{}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* X_CORE_INFO = "axi_crossbar_v2_1_10_axi_crossbar,Vivado 2016.2" *) +(* NotValidForBitStream *) +module system_design_xbar_1 + (aclk, + aresetn, + s_axi_awid, + s_axi_awaddr, + s_axi_awlen, + s_axi_awsize, + s_axi_awburst, + s_axi_awlock, + s_axi_awcache, + s_axi_awprot, + s_axi_awqos, + s_axi_awvalid, + s_axi_awready, + s_axi_wdata, + s_axi_wstrb, + s_axi_wlast, + s_axi_wvalid, + s_axi_wready, + s_axi_bid, + s_axi_bresp, + s_axi_bvalid, + s_axi_bready, + s_axi_arid, + s_axi_araddr, + s_axi_arlen, + s_axi_arsize, + s_axi_arburst, + s_axi_arlock, + s_axi_arcache, + s_axi_arprot, + s_axi_arqos, + s_axi_arvalid, + s_axi_arready, + s_axi_rid, + s_axi_rdata, + s_axi_rresp, + s_axi_rlast, + s_axi_rvalid, + s_axi_rready, + m_axi_awid, + m_axi_awaddr, + m_axi_awlen, + m_axi_awsize, + m_axi_awburst, + m_axi_awlock, + m_axi_awcache, + m_axi_awprot, + m_axi_awregion, + m_axi_awqos, + m_axi_awvalid, + m_axi_awready, + m_axi_wdata, + m_axi_wstrb, + m_axi_wlast, + m_axi_wvalid, + m_axi_wready, + m_axi_bid, + m_axi_bresp, + m_axi_bvalid, + m_axi_bready, + m_axi_arid, + m_axi_araddr, + m_axi_arlen, + m_axi_arsize, + m_axi_arburst, + m_axi_arlock, + m_axi_arcache, + m_axi_arprot, + m_axi_arregion, + m_axi_arqos, + m_axi_arvalid, + m_axi_arready, + m_axi_rid, + m_axi_rdata, + m_axi_rresp, + m_axi_rlast, + m_axi_rvalid, + m_axi_rready); + (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLKIF CLK" *) input aclk; + (* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RSTIF RST" *) input aresetn; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWID" *) input [11:0]s_axi_awid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWADDR" *) input [31:0]s_axi_awaddr; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWLEN" *) input [7:0]s_axi_awlen; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWSIZE" *) input [2:0]s_axi_awsize; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWBURST" *) input [1:0]s_axi_awburst; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWLOCK" *) input [0:0]s_axi_awlock; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWCACHE" *) input [3:0]s_axi_awcache; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWPROT" *) input [2:0]s_axi_awprot; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWQOS" *) input [3:0]s_axi_awqos; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWVALID" *) input [0:0]s_axi_awvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWREADY" *) output [0:0]s_axi_awready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WDATA" *) input [31:0]s_axi_wdata; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WSTRB" *) input [3:0]s_axi_wstrb; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WLAST" *) input [0:0]s_axi_wlast; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WVALID" *) input [0:0]s_axi_wvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WREADY" *) output [0:0]s_axi_wready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BID" *) output [11:0]s_axi_bid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BRESP" *) output [1:0]s_axi_bresp; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BVALID" *) output [0:0]s_axi_bvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BREADY" *) input [0:0]s_axi_bready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARID" *) input [11:0]s_axi_arid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARADDR" *) input [31:0]s_axi_araddr; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARLEN" *) input [7:0]s_axi_arlen; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARSIZE" *) input [2:0]s_axi_arsize; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARBURST" *) input [1:0]s_axi_arburst; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARLOCK" *) input [0:0]s_axi_arlock; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARCACHE" *) input [3:0]s_axi_arcache; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARPROT" *) input [2:0]s_axi_arprot; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARQOS" *) input [3:0]s_axi_arqos; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARVALID" *) input [0:0]s_axi_arvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARREADY" *) output [0:0]s_axi_arready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RID" *) output [11:0]s_axi_rid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RDATA" *) output [31:0]s_axi_rdata; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RRESP" *) output [1:0]s_axi_rresp; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RLAST" *) output [0:0]s_axi_rlast; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RVALID" *) output [0:0]s_axi_rvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RREADY" *) input [0:0]s_axi_rready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI AWID [11:0] [23:12]" *) output [23:0]m_axi_awid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWADDR [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI AWADDR [31:0] [63:32]" *) output [63:0]m_axi_awaddr; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWLEN [7:0] [7:0], xilinx.com:interface:aximm:1.0 M01_AXI AWLEN [7:0] [15:8]" *) output [15:0]m_axi_awlen; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWSIZE [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI AWSIZE [2:0] [5:3]" *) output [5:0]m_axi_awsize; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWBURST [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI AWBURST [1:0] [3:2]" *) output [3:0]m_axi_awburst; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWLOCK [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWLOCK [0:0] [1:1]" *) output [1:0]m_axi_awlock; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWCACHE [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWCACHE [3:0] [7:4]" *) output [7:0]m_axi_awcache; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWPROT [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI AWPROT [2:0] [5:3]" *) output [5:0]m_axi_awprot; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWREGION [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWREGION [3:0] [7:4]" *) output [7:0]m_axi_awregion; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWQOS [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWQOS [3:0] [7:4]" *) output [7:0]m_axi_awqos; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWVALID [0:0] [1:1]" *) output [1:0]m_axi_awvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWREADY [0:0] [1:1]" *) input [1:0]m_axi_awready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WDATA [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI WDATA [31:0] [63:32]" *) output [63:0]m_axi_wdata; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WSTRB [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI WSTRB [3:0] [7:4]" *) output [7:0]m_axi_wstrb; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WLAST [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WLAST [0:0] [1:1]" *) output [1:0]m_axi_wlast; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WVALID [0:0] [1:1]" *) output [1:0]m_axi_wvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WREADY [0:0] [1:1]" *) input [1:0]m_axi_wready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI BID [11:0] [23:12]" *) input [23:0]m_axi_bid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BRESP [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI BRESP [1:0] [3:2]" *) input [3:0]m_axi_bresp; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI BVALID [0:0] [1:1]" *) input [1:0]m_axi_bvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI BREADY [0:0] [1:1]" *) output [1:0]m_axi_bready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI ARID [11:0] [23:12]" *) output [23:0]m_axi_arid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARADDR [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI ARADDR [31:0] [63:32]" *) output [63:0]m_axi_araddr; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARLEN [7:0] [7:0], xilinx.com:interface:aximm:1.0 M01_AXI ARLEN [7:0] [15:8]" *) output [15:0]m_axi_arlen; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARSIZE [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI ARSIZE [2:0] [5:3]" *) output [5:0]m_axi_arsize; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARBURST [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI ARBURST [1:0] [3:2]" *) output [3:0]m_axi_arburst; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARLOCK [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARLOCK [0:0] [1:1]" *) output [1:0]m_axi_arlock; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARCACHE [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARCACHE [3:0] [7:4]" *) output [7:0]m_axi_arcache; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARPROT [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI ARPROT [2:0] [5:3]" *) output [5:0]m_axi_arprot; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARREGION [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARREGION [3:0] [7:4]" *) output [7:0]m_axi_arregion; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARQOS [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARQOS [3:0] [7:4]" *) output [7:0]m_axi_arqos; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARVALID [0:0] [1:1]" *) output [1:0]m_axi_arvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARREADY [0:0] [1:1]" *) input [1:0]m_axi_arready; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RID [11:0] [11:0], xilinx.com:interface:aximm:1.0 M01_AXI RID [11:0] [23:12]" *) input [23:0]m_axi_rid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RDATA [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI RDATA [31:0] [63:32]" *) input [63:0]m_axi_rdata; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RRESP [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI RRESP [1:0] [3:2]" *) input [3:0]m_axi_rresp; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RLAST [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RLAST [0:0] [1:1]" *) input [1:0]m_axi_rlast; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RVALID [0:0] [1:1]" *) input [1:0]m_axi_rvalid; + (* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RREADY [0:0] [1:1]" *) output [1:0]m_axi_rready; + + wire aclk; + wire aresetn; + wire [63:0]m_axi_araddr; + wire [3:0]m_axi_arburst; + wire [7:0]m_axi_arcache; + wire [23:0]m_axi_arid; + wire [15:0]m_axi_arlen; + wire [1:0]m_axi_arlock; + wire [5:0]m_axi_arprot; + wire [7:0]m_axi_arqos; + wire [1:0]m_axi_arready; + wire [7:0]m_axi_arregion; + wire [5:0]m_axi_arsize; + wire [1:0]m_axi_arvalid; + wire [63:0]m_axi_awaddr; + wire [3:0]m_axi_awburst; + wire [7:0]m_axi_awcache; + wire [23:0]m_axi_awid; + wire [15:0]m_axi_awlen; + wire [1:0]m_axi_awlock; + wire [5:0]m_axi_awprot; + wire [7:0]m_axi_awqos; + wire [1:0]m_axi_awready; + wire [7:0]m_axi_awregion; + wire [5:0]m_axi_awsize; + wire [1:0]m_axi_awvalid; + wire [23:0]m_axi_bid; + wire [1:0]m_axi_bready; + wire [3:0]m_axi_bresp; + wire [1:0]m_axi_bvalid; + wire [63:0]m_axi_rdata; + wire [23:0]m_axi_rid; + wire [1:0]m_axi_rlast; + wire [1:0]m_axi_rready; + wire [3:0]m_axi_rresp; + wire [1:0]m_axi_rvalid; + wire [63:0]m_axi_wdata; + wire [1:0]m_axi_wlast; + wire [1:0]m_axi_wready; + wire [7:0]m_axi_wstrb; + wire [1:0]m_axi_wvalid; + wire [31:0]s_axi_araddr; + wire [1:0]s_axi_arburst; + wire [3:0]s_axi_arcache; + wire [11:0]s_axi_arid; + wire [7:0]s_axi_arlen; + wire [0:0]s_axi_arlock; + wire [2:0]s_axi_arprot; + wire [3:0]s_axi_arqos; + wire [0:0]s_axi_arready; + wire [2:0]s_axi_arsize; + wire [0:0]s_axi_arvalid; + wire [31:0]s_axi_awaddr; + wire [1:0]s_axi_awburst; + wire [3:0]s_axi_awcache; + wire [11:0]s_axi_awid; + wire [7:0]s_axi_awlen; + wire [0:0]s_axi_awlock; + wire [2:0]s_axi_awprot; + wire [3:0]s_axi_awqos; + wire [0:0]s_axi_awready; + wire [2:0]s_axi_awsize; + wire [0:0]s_axi_awvalid; + wire [11:0]s_axi_bid; + wire [0:0]s_axi_bready; + wire [1:0]s_axi_bresp; + wire [0:0]s_axi_bvalid; + wire [31:0]s_axi_rdata; + wire [11:0]s_axi_rid; + wire [0:0]s_axi_rlast; + wire [0:0]s_axi_rready; + wire [1:0]s_axi_rresp; + wire [0:0]s_axi_rvalid; + wire [31:0]s_axi_wdata; + wire [0:0]s_axi_wlast; + wire [0:0]s_axi_wready; + wire [3:0]s_axi_wstrb; + wire [0:0]s_axi_wvalid; + wire [1:0]NLW_inst_m_axi_aruser_UNCONNECTED; + wire [1:0]NLW_inst_m_axi_awuser_UNCONNECTED; + wire [23:0]NLW_inst_m_axi_wid_UNCONNECTED; + wire [1:0]NLW_inst_m_axi_wuser_UNCONNECTED; + wire [0:0]NLW_inst_s_axi_buser_UNCONNECTED; + wire [0:0]NLW_inst_s_axi_ruser_UNCONNECTED; + + (* C_AXI_ADDR_WIDTH = "32" *) + (* C_AXI_ARUSER_WIDTH = "1" *) + (* C_AXI_AWUSER_WIDTH = "1" *) + (* C_AXI_BUSER_WIDTH = "1" *) + (* C_AXI_DATA_WIDTH = "32" *) + (* C_AXI_ID_WIDTH = "12" *) + (* C_AXI_PROTOCOL = "0" *) + (* C_AXI_RUSER_WIDTH = "1" *) + (* C_AXI_SUPPORTS_USER_SIGNALS = "0" *) + (* C_AXI_WUSER_WIDTH = "1" *) + (* C_CONNECTIVITY_MODE = "1" *) + (* C_DEBUG = "1" *) + (* C_FAMILY = "zynq" *) + (* C_M_AXI_ADDR_WIDTH = "64'b0000000000000000000000000000000000000000000000000000000000010000" *) + (* C_M_AXI_BASE_ADDR = "128'b11111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000010000000000000000000000000000000" *) + (* C_M_AXI_READ_CONNECTIVITY = "64'b1111111111111111111111111111111111111111111111111111111111111111" *) + (* C_M_AXI_READ_ISSUING = "64'b0000000000000000000000000000100000000000000000000000000000000010" *) + (* C_M_AXI_SECURE = "64'b0000000000000000000000000000000000000000000000000000000000000000" *) + (* C_M_AXI_WRITE_CONNECTIVITY = "64'b1111111111111111111111111111111111111111111111111111111111111111" *) + (* C_M_AXI_WRITE_ISSUING = "64'b0000000000000000000000000000100000000000000000000000000000000010" *) + (* C_NUM_ADDR_RANGES = "1" *) + (* C_NUM_MASTER_SLOTS = "2" *) + (* C_NUM_SLAVE_SLOTS = "1" *) + (* C_R_REGISTER = "0" *) + (* C_S_AXI_ARB_PRIORITY = "0" *) + (* C_S_AXI_BASE_ID = "0" *) + (* C_S_AXI_READ_ACCEPTANCE = "8" *) + (* C_S_AXI_SINGLE_THREAD = "0" *) + (* C_S_AXI_THREAD_ID_WIDTH = "12" *) + (* C_S_AXI_WRITE_ACCEPTANCE = "8" *) + (* DowngradeIPIdentifiedWarnings = "yes" *) + (* P_ADDR_DECODE = "1" *) + (* P_AXI3 = "1" *) + (* P_AXI4 = "0" *) + (* P_AXILITE = "2" *) + (* P_AXILITE_SIZE = "3'b010" *) + (* P_FAMILY = "zynq" *) + (* P_INCR = "2'b01" *) + (* P_LEN = "8" *) + (* P_LOCK = "1" *) + (* P_M_AXI_ERR_MODE = "64'b0000000000000000000000000000000000000000000000000000000000000000" *) + (* P_M_AXI_SUPPORTS_READ = "2'b11" *) + (* P_M_AXI_SUPPORTS_WRITE = "2'b11" *) + (* P_ONES = "65'b11111111111111111111111111111111111111111111111111111111111111111" *) + (* P_RANGE_CHECK = "1" *) + (* P_S_AXI_BASE_ID = "64'b0000000000000000000000000000000000000000000000000000000000000000" *) + (* P_S_AXI_HIGH_ID = "64'b0000000000000000000000000000000000000000000000000000111111111111" *) + (* P_S_AXI_SUPPORTS_READ = "1'b1" *) + (* P_S_AXI_SUPPORTS_WRITE = "1'b1" *) + system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar inst + (.aclk(aclk), + .aresetn(aresetn), + .m_axi_araddr(m_axi_araddr), + .m_axi_arburst(m_axi_arburst), + .m_axi_arcache(m_axi_arcache), + .m_axi_arid(m_axi_arid), + .m_axi_arlen(m_axi_arlen), + .m_axi_arlock(m_axi_arlock), + .m_axi_arprot(m_axi_arprot), + .m_axi_arqos(m_axi_arqos), + .m_axi_arready(m_axi_arready), + .m_axi_arregion(m_axi_arregion), + .m_axi_arsize(m_axi_arsize), + .m_axi_aruser(NLW_inst_m_axi_aruser_UNCONNECTED[1:0]), + .m_axi_arvalid(m_axi_arvalid), + .m_axi_awaddr(m_axi_awaddr), + .m_axi_awburst(m_axi_awburst), + .m_axi_awcache(m_axi_awcache), + .m_axi_awid(m_axi_awid), + .m_axi_awlen(m_axi_awlen), + .m_axi_awlock(m_axi_awlock), + .m_axi_awprot(m_axi_awprot), + .m_axi_awqos(m_axi_awqos), + .m_axi_awready(m_axi_awready), + .m_axi_awregion(m_axi_awregion), + .m_axi_awsize(m_axi_awsize), + .m_axi_awuser(NLW_inst_m_axi_awuser_UNCONNECTED[1:0]), + .m_axi_awvalid(m_axi_awvalid), + .m_axi_bid(m_axi_bid), + .m_axi_bready(m_axi_bready), + .m_axi_bresp(m_axi_bresp), + .m_axi_buser({1'b0,1'b0}), + .m_axi_bvalid(m_axi_bvalid), + .m_axi_rdata(m_axi_rdata), + .m_axi_rid(m_axi_rid), + .m_axi_rlast(m_axi_rlast), + .m_axi_rready(m_axi_rready), + .m_axi_rresp(m_axi_rresp), + .m_axi_ruser({1'b0,1'b0}), + .m_axi_rvalid(m_axi_rvalid), + .m_axi_wdata(m_axi_wdata), + .m_axi_wid(NLW_inst_m_axi_wid_UNCONNECTED[23:0]), + .m_axi_wlast(m_axi_wlast), + .m_axi_wready(m_axi_wready), + .m_axi_wstrb(m_axi_wstrb), + .m_axi_wuser(NLW_inst_m_axi_wuser_UNCONNECTED[1:0]), + .m_axi_wvalid(m_axi_wvalid), + .s_axi_araddr(s_axi_araddr), + .s_axi_arburst(s_axi_arburst), + .s_axi_arcache(s_axi_arcache), + .s_axi_arid(s_axi_arid), + .s_axi_arlen(s_axi_arlen), + .s_axi_arlock(s_axi_arlock), + .s_axi_arprot(s_axi_arprot), + .s_axi_arqos(s_axi_arqos), + .s_axi_arready(s_axi_arready), + .s_axi_arsize(s_axi_arsize), + .s_axi_aruser(1'b0), + .s_axi_arvalid(s_axi_arvalid), + .s_axi_awaddr(s_axi_awaddr), + .s_axi_awburst(s_axi_awburst), + .s_axi_awcache(s_axi_awcache), + .s_axi_awid(s_axi_awid), + .s_axi_awlen(s_axi_awlen), + .s_axi_awlock(s_axi_awlock), + .s_axi_awprot(s_axi_awprot), + .s_axi_awqos(s_axi_awqos), + .s_axi_awready(s_axi_awready), + .s_axi_awsize(s_axi_awsize), + .s_axi_awuser(1'b0), + .s_axi_awvalid(s_axi_awvalid), + .s_axi_bid(s_axi_bid), + .s_axi_bready(s_axi_bready), + .s_axi_bresp(s_axi_bresp), + .s_axi_buser(NLW_inst_s_axi_buser_UNCONNECTED[0]), + .s_axi_bvalid(s_axi_bvalid), + .s_axi_rdata(s_axi_rdata), + .s_axi_rid(s_axi_rid), + .s_axi_rlast(s_axi_rlast), + .s_axi_rready(s_axi_rready), + .s_axi_rresp(s_axi_rresp), + .s_axi_ruser(NLW_inst_s_axi_ruser_UNCONNECTED[0]), + .s_axi_rvalid(s_axi_rvalid), + .s_axi_wdata(s_axi_wdata), + .s_axi_wid({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), + .s_axi_wlast(s_axi_wlast), + .s_axi_wready(s_axi_wready), + .s_axi_wstrb(s_axi_wstrb), + .s_axi_wuser(1'b0), + .s_axi_wvalid(s_axi_wvalid)); +endmodule + +(* ORIG_REF_NAME = "axi_crossbar_v2_1_10_addr_arbiter" *) +module system_design_xbar_1_axi_crossbar_v2_1_10_addr_arbiter + (S_AXI_ARREADY, + aa_mi_arvalid, + \gen_master_slots[0].r_issuing_cnt_reg[1] , + \gen_no_arbiter.m_valid_i_reg_0 , + \gen_master_slots[0].r_issuing_cnt_reg[0] , + s_axi_rvalid_i, + \gen_master_slots[2].r_issuing_cnt_reg[16] , + m_axi_arvalid, + match, + \gen_axi.s_axi_rlast_i_reg , + \m_axi_arqos[7] , + aresetn_d_reg, + aclk, + SR, + r_issuing_cnt, + \m_payload_i_reg[34] , + m_axi_arready, + mi_arready_2, + p_15_in, + D, + m_valid_i, + aresetn_d, + aresetn_d_reg_0); + output [0:0]S_AXI_ARREADY; + output aa_mi_arvalid; + output \gen_master_slots[0].r_issuing_cnt_reg[1] ; + output [0:0]\gen_no_arbiter.m_valid_i_reg_0 ; + output \gen_master_slots[0].r_issuing_cnt_reg[0] ; + output s_axi_rvalid_i; + output \gen_master_slots[2].r_issuing_cnt_reg[16] ; + output [0:0]m_axi_arvalid; + output match; + output \gen_axi.s_axi_rlast_i_reg ; + output [68:0]\m_axi_arqos[7] ; + input aresetn_d_reg; + input aclk; + input [0:0]SR; + input [1:0]r_issuing_cnt; + input \m_payload_i_reg[34] ; + input [0:0]m_axi_arready; + input mi_arready_2; + input p_15_in; + input [68:0]D; + input m_valid_i; + input aresetn_d; + input aresetn_d_reg_0; + + wire [68:0]D; + wire [0:0]SR; + wire [0:0]S_AXI_ARREADY; + wire [0:0]aa_mi_artarget_hot; + wire aa_mi_arvalid; + wire aclk; + wire aresetn_d; + wire aresetn_d_reg; + wire aresetn_d_reg_0; + wire \gen_axi.s_axi_rlast_i_i_4_n_0 ; + wire \gen_axi.s_axi_rlast_i_reg ; + wire \gen_master_slots[0].r_issuing_cnt_reg[0] ; + wire \gen_master_slots[0].r_issuing_cnt_reg[1] ; + wire \gen_master_slots[2].r_issuing_cnt_reg[16] ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_14__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_15__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_16__0_n_0 ; + wire \gen_no_arbiter.m_target_hot_i[0]_i_1_n_0 ; + wire \gen_no_arbiter.m_valid_i_i_1__0_n_0 ; + wire [0:0]\gen_no_arbiter.m_valid_i_reg_0 ; + wire [68:0]\m_axi_arqos[7] ; + wire [0:0]m_axi_arready; + wire [0:0]m_axi_arvalid; + wire \m_payload_i_reg[34] ; + wire m_valid_i; + wire match; + wire mi_arready_2; + wire p_15_in; + wire [1:0]r_issuing_cnt; + wire s_axi_rvalid_i; + wire s_ready_i2; + + (* SOFT_HLUTNM = "soft_lutpair1" *) + LUT4 #( + .INIT(16'h0080)) + \gen_axi.s_axi_rid_i[11]_i_1 + (.I0(\gen_no_arbiter.m_valid_i_reg_0 ), + .I1(mi_arready_2), + .I2(aa_mi_arvalid), + .I3(p_15_in), + .O(s_axi_rvalid_i)); + LUT5 #( + .INIT(32'h00000001)) + \gen_axi.s_axi_rlast_i_i_2 + (.I0(\m_axi_arqos[7] [51]), + .I1(\m_axi_arqos[7] [50]), + .I2(\m_axi_arqos[7] [49]), + .I3(\m_axi_arqos[7] [48]), + .I4(\gen_axi.s_axi_rlast_i_i_4_n_0 ), + .O(\gen_axi.s_axi_rlast_i_reg )); + LUT4 #( + .INIT(16'hFFFE)) + \gen_axi.s_axi_rlast_i_i_4 + (.I0(\m_axi_arqos[7] [44]), + .I1(\m_axi_arqos[7] [45]), + .I2(\m_axi_arqos[7] [46]), + .I3(\m_axi_arqos[7] [47]), + .O(\gen_axi.s_axi_rlast_i_i_4_n_0 )); + LUT6 #( + .INIT(64'h807F807F7F800080)) + \gen_master_slots[0].r_issuing_cnt[0]_i_1 + (.I0(aa_mi_arvalid), + .I1(aa_mi_artarget_hot), + .I2(m_axi_arready), + .I3(\m_payload_i_reg[34] ), + .I4(r_issuing_cnt[1]), + .I5(r_issuing_cnt[0]), + .O(\gen_master_slots[0].r_issuing_cnt_reg[0] )); + LUT6 #( + .INIT(64'hC68C8C8C8C8C8C8C)) + \gen_master_slots[0].r_issuing_cnt[1]_i_1 + (.I0(r_issuing_cnt[0]), + .I1(r_issuing_cnt[1]), + .I2(\m_payload_i_reg[34] ), + .I3(m_axi_arready), + .I4(aa_mi_artarget_hot), + .I5(aa_mi_arvalid), + .O(\gen_master_slots[0].r_issuing_cnt_reg[1] )); + (* SOFT_HLUTNM = "soft_lutpair1" *) + LUT3 #( + .INIT(8'h80)) + \gen_master_slots[2].r_issuing_cnt[16]_i_2 + (.I0(aa_mi_arvalid), + .I1(mi_arready_2), + .I2(\gen_no_arbiter.m_valid_i_reg_0 ), + .O(\gen_master_slots[2].r_issuing_cnt_reg[16] )); + LUT4 #( + .INIT(16'hFFFE)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_14__0 + (.I0(D[31]), + .I1(D[32]), + .I2(D[30]), + .I3(D[41]), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_14__0_n_0 )); + LUT5 #( + .INIT(32'hFFFFFFFE)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_15__0 + (.I0(D[40]), + .I1(D[33]), + .I2(D[38]), + .I3(D[39]), + .I4(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_16__0_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_15__0_n_0 )); + LUT4 #( + .INIT(16'hFFFE)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_16__0 + (.I0(D[36]), + .I1(D[37]), + .I2(D[42]), + .I3(D[34]), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_16__0_n_0 )); + LUT6 #( + .INIT(64'h0000000000000100)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_7__0 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_14__0_n_0 ), + .I1(D[29]), + .I2(D[28]), + .I3(D[43]), + .I4(D[35]), + .I5(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_15__0_n_0 ), + .O(match)); + LUT1 #( + .INIT(2'h1)) + \gen_no_arbiter.m_mesg_i[11]_i_1__0 + (.I0(aa_mi_arvalid), + .O(s_ready_i2)); + FDRE \gen_no_arbiter.m_mesg_i_reg[0] + (.C(aclk), + .CE(s_ready_i2), + .D(D[0]), + .Q(\m_axi_arqos[7] [0]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[10] + (.C(aclk), + .CE(s_ready_i2), + .D(D[10]), + .Q(\m_axi_arqos[7] [10]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[11] + (.C(aclk), + .CE(s_ready_i2), + .D(D[11]), + .Q(\m_axi_arqos[7] [11]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[12] + (.C(aclk), + .CE(s_ready_i2), + .D(D[12]), + .Q(\m_axi_arqos[7] [12]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[13] + (.C(aclk), + .CE(s_ready_i2), + .D(D[13]), + .Q(\m_axi_arqos[7] [13]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[14] + (.C(aclk), + .CE(s_ready_i2), + .D(D[14]), + .Q(\m_axi_arqos[7] [14]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[15] + (.C(aclk), + .CE(s_ready_i2), + .D(D[15]), + .Q(\m_axi_arqos[7] [15]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[16] + (.C(aclk), + .CE(s_ready_i2), + .D(D[16]), + .Q(\m_axi_arqos[7] [16]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[17] + (.C(aclk), + .CE(s_ready_i2), + .D(D[17]), + .Q(\m_axi_arqos[7] [17]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[18] + (.C(aclk), + .CE(s_ready_i2), + .D(D[18]), + .Q(\m_axi_arqos[7] [18]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[19] + (.C(aclk), + .CE(s_ready_i2), + .D(D[19]), + .Q(\m_axi_arqos[7] [19]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[1] + (.C(aclk), + .CE(s_ready_i2), + .D(D[1]), + .Q(\m_axi_arqos[7] [1]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[20] + (.C(aclk), + .CE(s_ready_i2), + .D(D[20]), + .Q(\m_axi_arqos[7] [20]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[21] + (.C(aclk), + .CE(s_ready_i2), + .D(D[21]), + .Q(\m_axi_arqos[7] [21]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[22] + (.C(aclk), + .CE(s_ready_i2), + .D(D[22]), + .Q(\m_axi_arqos[7] [22]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[23] + (.C(aclk), + .CE(s_ready_i2), + .D(D[23]), + .Q(\m_axi_arqos[7] [23]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[24] + (.C(aclk), + .CE(s_ready_i2), + .D(D[24]), + .Q(\m_axi_arqos[7] [24]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[25] + (.C(aclk), + .CE(s_ready_i2), + .D(D[25]), + .Q(\m_axi_arqos[7] [25]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[26] + (.C(aclk), + .CE(s_ready_i2), + .D(D[26]), + .Q(\m_axi_arqos[7] [26]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[27] + (.C(aclk), + .CE(s_ready_i2), + .D(D[27]), + .Q(\m_axi_arqos[7] [27]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[28] + (.C(aclk), + .CE(s_ready_i2), + .D(D[28]), + .Q(\m_axi_arqos[7] [28]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[29] + (.C(aclk), + .CE(s_ready_i2), + .D(D[29]), + .Q(\m_axi_arqos[7] [29]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[2] + (.C(aclk), + .CE(s_ready_i2), + .D(D[2]), + .Q(\m_axi_arqos[7] [2]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[30] + (.C(aclk), + .CE(s_ready_i2), + .D(D[30]), + .Q(\m_axi_arqos[7] [30]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[31] + (.C(aclk), + .CE(s_ready_i2), + .D(D[31]), + .Q(\m_axi_arqos[7] [31]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[32] + (.C(aclk), + .CE(s_ready_i2), + .D(D[32]), + .Q(\m_axi_arqos[7] [32]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[33] + (.C(aclk), + .CE(s_ready_i2), + .D(D[33]), + .Q(\m_axi_arqos[7] [33]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[34] + (.C(aclk), + .CE(s_ready_i2), + .D(D[34]), + .Q(\m_axi_arqos[7] [34]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[35] + (.C(aclk), + .CE(s_ready_i2), + .D(D[35]), + .Q(\m_axi_arqos[7] [35]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[36] + (.C(aclk), + .CE(s_ready_i2), + .D(D[36]), + .Q(\m_axi_arqos[7] [36]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[37] + (.C(aclk), + .CE(s_ready_i2), + .D(D[37]), + .Q(\m_axi_arqos[7] [37]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[38] + (.C(aclk), + .CE(s_ready_i2), + .D(D[38]), + .Q(\m_axi_arqos[7] [38]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[39] + (.C(aclk), + .CE(s_ready_i2), + .D(D[39]), + .Q(\m_axi_arqos[7] [39]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[3] + (.C(aclk), + .CE(s_ready_i2), + .D(D[3]), + .Q(\m_axi_arqos[7] [3]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[40] + (.C(aclk), + .CE(s_ready_i2), + .D(D[40]), + .Q(\m_axi_arqos[7] [40]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[41] + (.C(aclk), + .CE(s_ready_i2), + .D(D[41]), + .Q(\m_axi_arqos[7] [41]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[42] + (.C(aclk), + .CE(s_ready_i2), + .D(D[42]), + .Q(\m_axi_arqos[7] [42]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[43] + (.C(aclk), + .CE(s_ready_i2), + .D(D[43]), + .Q(\m_axi_arqos[7] [43]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[44] + (.C(aclk), + .CE(s_ready_i2), + .D(D[44]), + .Q(\m_axi_arqos[7] [44]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[45] + (.C(aclk), + .CE(s_ready_i2), + .D(D[45]), + .Q(\m_axi_arqos[7] [45]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[46] + (.C(aclk), + .CE(s_ready_i2), + .D(D[46]), + .Q(\m_axi_arqos[7] [46]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[47] + (.C(aclk), + .CE(s_ready_i2), + .D(D[47]), + .Q(\m_axi_arqos[7] [47]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[48] + (.C(aclk), + .CE(s_ready_i2), + .D(D[48]), + .Q(\m_axi_arqos[7] [48]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[49] + (.C(aclk), + .CE(s_ready_i2), + .D(D[49]), + .Q(\m_axi_arqos[7] [49]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[4] + (.C(aclk), + .CE(s_ready_i2), + .D(D[4]), + .Q(\m_axi_arqos[7] [4]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[50] + (.C(aclk), + .CE(s_ready_i2), + .D(D[50]), + .Q(\m_axi_arqos[7] [50]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[51] + (.C(aclk), + .CE(s_ready_i2), + .D(D[51]), + .Q(\m_axi_arqos[7] [51]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[52] + (.C(aclk), + .CE(s_ready_i2), + .D(D[52]), + .Q(\m_axi_arqos[7] [52]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[53] + (.C(aclk), + .CE(s_ready_i2), + .D(D[53]), + .Q(\m_axi_arqos[7] [53]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[54] + (.C(aclk), + .CE(s_ready_i2), + .D(D[54]), + .Q(\m_axi_arqos[7] [54]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[55] + (.C(aclk), + .CE(s_ready_i2), + .D(D[55]), + .Q(\m_axi_arqos[7] [55]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[57] + (.C(aclk), + .CE(s_ready_i2), + .D(D[56]), + .Q(\m_axi_arqos[7] [56]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[58] + (.C(aclk), + .CE(s_ready_i2), + .D(D[57]), + .Q(\m_axi_arqos[7] [57]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[59] + (.C(aclk), + .CE(s_ready_i2), + .D(D[58]), + .Q(\m_axi_arqos[7] [58]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[5] + (.C(aclk), + .CE(s_ready_i2), + .D(D[5]), + .Q(\m_axi_arqos[7] [5]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[64] + (.C(aclk), + .CE(s_ready_i2), + .D(D[59]), + .Q(\m_axi_arqos[7] [59]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[65] + (.C(aclk), + .CE(s_ready_i2), + .D(D[60]), + .Q(\m_axi_arqos[7] [60]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[66] + (.C(aclk), + .CE(s_ready_i2), + .D(D[61]), + .Q(\m_axi_arqos[7] [61]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[67] + (.C(aclk), + .CE(s_ready_i2), + .D(D[62]), + .Q(\m_axi_arqos[7] [62]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[68] + (.C(aclk), + .CE(s_ready_i2), + .D(D[63]), + .Q(\m_axi_arqos[7] [63]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[69] + (.C(aclk), + .CE(s_ready_i2), + .D(D[64]), + .Q(\m_axi_arqos[7] [64]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[6] + (.C(aclk), + .CE(s_ready_i2), + .D(D[6]), + .Q(\m_axi_arqos[7] [6]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[70] + (.C(aclk), + .CE(s_ready_i2), + .D(D[65]), + .Q(\m_axi_arqos[7] [65]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[71] + (.C(aclk), + .CE(s_ready_i2), + .D(D[66]), + .Q(\m_axi_arqos[7] [66]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[72] + (.C(aclk), + .CE(s_ready_i2), + .D(D[67]), + .Q(\m_axi_arqos[7] [67]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[73] + (.C(aclk), + .CE(s_ready_i2), + .D(D[68]), + .Q(\m_axi_arqos[7] [68]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[7] + (.C(aclk), + .CE(s_ready_i2), + .D(D[7]), + .Q(\m_axi_arqos[7] [7]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[8] + (.C(aclk), + .CE(s_ready_i2), + .D(D[8]), + .Q(\m_axi_arqos[7] [8]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[9] + (.C(aclk), + .CE(s_ready_i2), + .D(D[9]), + .Q(\m_axi_arqos[7] [9]), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair0" *) + LUT4 #( + .INIT(16'hBF80)) + \gen_no_arbiter.m_target_hot_i[0]_i_1 + (.I0(match), + .I1(m_valid_i), + .I2(aresetn_d), + .I3(aa_mi_artarget_hot), + .O(\gen_no_arbiter.m_target_hot_i[0]_i_1_n_0 )); + FDRE \gen_no_arbiter.m_target_hot_i_reg[0] + (.C(aclk), + .CE(1'b1), + .D(\gen_no_arbiter.m_target_hot_i[0]_i_1_n_0 ), + .Q(aa_mi_artarget_hot), + .R(1'b0)); + FDRE \gen_no_arbiter.m_target_hot_i_reg[2] + (.C(aclk), + .CE(1'b1), + .D(aresetn_d_reg_0), + .Q(\gen_no_arbiter.m_valid_i_reg_0 ), + .R(1'b0)); + LUT6 #( + .INIT(64'hAAAABFAABFAABFAA)) + \gen_no_arbiter.m_valid_i_i_1__0 + (.I0(m_valid_i), + .I1(m_axi_arready), + .I2(aa_mi_artarget_hot), + .I3(aa_mi_arvalid), + .I4(mi_arready_2), + .I5(\gen_no_arbiter.m_valid_i_reg_0 ), + .O(\gen_no_arbiter.m_valid_i_i_1__0_n_0 )); + FDRE \gen_no_arbiter.m_valid_i_reg + (.C(aclk), + .CE(1'b1), + .D(\gen_no_arbiter.m_valid_i_i_1__0_n_0 ), + .Q(aa_mi_arvalid), + .R(SR)); + FDRE \gen_no_arbiter.s_ready_i_reg[0] + (.C(aclk), + .CE(1'b1), + .D(aresetn_d_reg), + .Q(S_AXI_ARREADY), + .R(1'b0)); + (* SOFT_HLUTNM = "soft_lutpair0" *) + LUT2 #( + .INIT(4'h8)) + \m_axi_arvalid[0]_INST_0 + (.I0(aa_mi_arvalid), + .I1(aa_mi_artarget_hot), + .O(m_axi_arvalid)); +endmodule + +(* ORIG_REF_NAME = "axi_crossbar_v2_1_10_addr_arbiter" *) +module system_design_xbar_1_axi_crossbar_v2_1_10_addr_arbiter_0 + (ss_aa_awready, + aa_sa_awvalid, + \gen_master_slots[0].w_issuing_cnt_reg[1] , + \gen_master_slots[0].w_issuing_cnt_reg[1]_0 , + m_axi_awvalid, + aa_mi_awtarget_hot, + \gen_master_slots[2].w_issuing_cnt_reg[16] , + \m_ready_d_reg[1] , + \gen_no_arbiter.s_ready_i_reg[0]_0 , + \gen_no_arbiter.m_target_hot_i_reg[2]_0 , + match, + \gen_master_slots[2].w_issuing_cnt_reg[16]_0 , + Q, + \gen_multi_thread.gen_thread_loop[1].active_target_reg[9] , + aclk, + SR, + \gen_no_arbiter.m_valid_i_reg_0 , + chosen, + p_80_out, + s_axi_bready, + w_issuing_cnt, + m_ready_d, + m_axi_awready, + mi_awready_2, + m_ready_d_0, + s_axi_awvalid, + D, + p_38_out, + \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]_0 , + \gen_multi_thread.gen_thread_loop[7].active_target_reg[57] , + aresetn_d, + aresetn_d_reg); + output ss_aa_awready; + output aa_sa_awvalid; + output \gen_master_slots[0].w_issuing_cnt_reg[1] ; + output \gen_master_slots[0].w_issuing_cnt_reg[1]_0 ; + output [0:0]m_axi_awvalid; + output [1:0]aa_mi_awtarget_hot; + output \gen_master_slots[2].w_issuing_cnt_reg[16] ; + output \m_ready_d_reg[1] ; + output \gen_no_arbiter.s_ready_i_reg[0]_0 ; + output \gen_no_arbiter.m_target_hot_i_reg[2]_0 ; + output match; + output \gen_master_slots[2].w_issuing_cnt_reg[16]_0 ; + output [68:0]Q; + input \gen_multi_thread.gen_thread_loop[1].active_target_reg[9] ; + input aclk; + input [0:0]SR; + input \gen_no_arbiter.m_valid_i_reg_0 ; + input [1:0]chosen; + input p_80_out; + input [0:0]s_axi_bready; + input [2:0]w_issuing_cnt; + input [0:0]m_ready_d; + input [0:0]m_axi_awready; + input mi_awready_2; + input [0:0]m_ready_d_0; + input [0:0]s_axi_awvalid; + input [68:0]D; + input p_38_out; + input \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]_0 ; + input \gen_multi_thread.gen_thread_loop[7].active_target_reg[57] ; + input aresetn_d; + input aresetn_d_reg; + + wire [68:0]D; + wire [68:0]Q; + wire [0:0]SR; + wire [1:0]aa_mi_awtarget_hot; + wire aa_sa_awvalid; + wire aclk; + wire aresetn_d; + wire aresetn_d_reg; + wire [1:0]chosen; + wire \gen_master_slots[0].w_issuing_cnt_reg[1] ; + wire \gen_master_slots[0].w_issuing_cnt_reg[1]_0 ; + wire \gen_master_slots[2].w_issuing_cnt_reg[16] ; + wire \gen_master_slots[2].w_issuing_cnt_reg[16]_0 ; + wire \gen_multi_thread.gen_thread_loop[1].active_target_reg[9] ; + wire \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_14_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_15_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_16_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target_reg[57] ; + wire \gen_no_arbiter.m_target_hot_i[0]_i_1_n_0 ; + wire \gen_no_arbiter.m_target_hot_i_reg[2]_0 ; + wire \gen_no_arbiter.m_valid_i_reg_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_10_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_11_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_17_n_0 ; + wire \gen_no_arbiter.s_ready_i_reg[0]_0 ; + wire [0:0]m_axi_awready; + wire [0:0]m_axi_awvalid; + wire [0:0]m_ready_d; + wire [0:0]m_ready_d_0; + wire \m_ready_d_reg[1] ; + wire match; + wire mi_awready_2; + wire p_38_out; + wire p_80_out; + wire [0:0]s_axi_awvalid; + wire [0:0]s_axi_bready; + wire s_ready_i2; + wire ss_aa_awready; + wire [2:0]w_issuing_cnt; + + LUT4 #( + .INIT(16'h0800)) + \gen_axi.s_axi_awready_i_i_2 + (.I0(mi_awready_2), + .I1(aa_mi_awtarget_hot[1]), + .I2(m_ready_d), + .I3(aa_sa_awvalid), + .O(\gen_master_slots[2].w_issuing_cnt_reg[16] )); + LUT6 #( + .INIT(64'hD555BFFF2AAA0000)) + \gen_master_slots[0].w_issuing_cnt[1]_i_1 + (.I0(\gen_master_slots[0].w_issuing_cnt_reg[1]_0 ), + .I1(chosen[0]), + .I2(p_80_out), + .I3(s_axi_bready), + .I4(w_issuing_cnt[0]), + .I5(w_issuing_cnt[1]), + .O(\gen_master_slots[0].w_issuing_cnt_reg[1] )); + (* SOFT_HLUTNM = "soft_lutpair2" *) + LUT4 #( + .INIT(16'h4000)) + \gen_master_slots[0].w_issuing_cnt[1]_i_2 + (.I0(m_ready_d), + .I1(aa_sa_awvalid), + .I2(m_axi_awready), + .I3(aa_mi_awtarget_hot[0]), + .O(\gen_master_slots[0].w_issuing_cnt_reg[1]_0 )); + LUT5 #( + .INIT(32'h95552AAA)) + \gen_master_slots[2].w_issuing_cnt[16]_i_1 + (.I0(\gen_master_slots[2].w_issuing_cnt_reg[16] ), + .I1(s_axi_bready), + .I2(chosen[1]), + .I3(p_38_out), + .I4(w_issuing_cnt[2]), + .O(\gen_master_slots[2].w_issuing_cnt_reg[16]_0 )); + LUT4 #( + .INIT(16'hFFFE)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_14 + (.I0(D[35]), + .I1(D[41]), + .I2(D[34]), + .I3(D[37]), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_14_n_0 )); + LUT5 #( + .INIT(32'hFFFFFFFE)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_15 + (.I0(D[42]), + .I1(D[36]), + .I2(D[40]), + .I3(D[28]), + .I4(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_16_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_15_n_0 )); + LUT4 #( + .INIT(16'hFFEF)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_16 + (.I0(D[30]), + .I1(D[38]), + .I2(D[43]), + .I3(D[32]), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_16_n_0 )); + LUT6 #( + .INIT(64'h0000000000000001)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_7 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_14_n_0 ), + .I1(D[31]), + .I2(D[39]), + .I3(D[33]), + .I4(D[29]), + .I5(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_15_n_0 ), + .O(match)); + LUT1 #( + .INIT(2'h1)) + \gen_no_arbiter.m_mesg_i[11]_i_2 + (.I0(aa_sa_awvalid), + .O(s_ready_i2)); + FDRE \gen_no_arbiter.m_mesg_i_reg[0] + (.C(aclk), + .CE(s_ready_i2), + .D(D[0]), + .Q(Q[0]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[10] + (.C(aclk), + .CE(s_ready_i2), + .D(D[10]), + .Q(Q[10]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[11] + (.C(aclk), + .CE(s_ready_i2), + .D(D[11]), + .Q(Q[11]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[12] + (.C(aclk), + .CE(s_ready_i2), + .D(D[12]), + .Q(Q[12]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[13] + (.C(aclk), + .CE(s_ready_i2), + .D(D[13]), + .Q(Q[13]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[14] + (.C(aclk), + .CE(s_ready_i2), + .D(D[14]), + .Q(Q[14]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[15] + (.C(aclk), + .CE(s_ready_i2), + .D(D[15]), + .Q(Q[15]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[16] + (.C(aclk), + .CE(s_ready_i2), + .D(D[16]), + .Q(Q[16]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[17] + (.C(aclk), + .CE(s_ready_i2), + .D(D[17]), + .Q(Q[17]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[18] + (.C(aclk), + .CE(s_ready_i2), + .D(D[18]), + .Q(Q[18]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[19] + (.C(aclk), + .CE(s_ready_i2), + .D(D[19]), + .Q(Q[19]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[1] + (.C(aclk), + .CE(s_ready_i2), + .D(D[1]), + .Q(Q[1]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[20] + (.C(aclk), + .CE(s_ready_i2), + .D(D[20]), + .Q(Q[20]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[21] + (.C(aclk), + .CE(s_ready_i2), + .D(D[21]), + .Q(Q[21]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[22] + (.C(aclk), + .CE(s_ready_i2), + .D(D[22]), + .Q(Q[22]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[23] + (.C(aclk), + .CE(s_ready_i2), + .D(D[23]), + .Q(Q[23]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[24] + (.C(aclk), + .CE(s_ready_i2), + .D(D[24]), + .Q(Q[24]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[25] + (.C(aclk), + .CE(s_ready_i2), + .D(D[25]), + .Q(Q[25]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[26] + (.C(aclk), + .CE(s_ready_i2), + .D(D[26]), + .Q(Q[26]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[27] + (.C(aclk), + .CE(s_ready_i2), + .D(D[27]), + .Q(Q[27]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[28] + (.C(aclk), + .CE(s_ready_i2), + .D(D[28]), + .Q(Q[28]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[29] + (.C(aclk), + .CE(s_ready_i2), + .D(D[29]), + .Q(Q[29]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[2] + (.C(aclk), + .CE(s_ready_i2), + .D(D[2]), + .Q(Q[2]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[30] + (.C(aclk), + .CE(s_ready_i2), + .D(D[30]), + .Q(Q[30]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[31] + (.C(aclk), + .CE(s_ready_i2), + .D(D[31]), + .Q(Q[31]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[32] + (.C(aclk), + .CE(s_ready_i2), + .D(D[32]), + .Q(Q[32]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[33] + (.C(aclk), + .CE(s_ready_i2), + .D(D[33]), + .Q(Q[33]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[34] + (.C(aclk), + .CE(s_ready_i2), + .D(D[34]), + .Q(Q[34]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[35] + (.C(aclk), + .CE(s_ready_i2), + .D(D[35]), + .Q(Q[35]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[36] + (.C(aclk), + .CE(s_ready_i2), + .D(D[36]), + .Q(Q[36]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[37] + (.C(aclk), + .CE(s_ready_i2), + .D(D[37]), + .Q(Q[37]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[38] + (.C(aclk), + .CE(s_ready_i2), + .D(D[38]), + .Q(Q[38]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[39] + (.C(aclk), + .CE(s_ready_i2), + .D(D[39]), + .Q(Q[39]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[3] + (.C(aclk), + .CE(s_ready_i2), + .D(D[3]), + .Q(Q[3]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[40] + (.C(aclk), + .CE(s_ready_i2), + .D(D[40]), + .Q(Q[40]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[41] + (.C(aclk), + .CE(s_ready_i2), + .D(D[41]), + .Q(Q[41]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[42] + (.C(aclk), + .CE(s_ready_i2), + .D(D[42]), + .Q(Q[42]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[43] + (.C(aclk), + .CE(s_ready_i2), + .D(D[43]), + .Q(Q[43]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[44] + (.C(aclk), + .CE(s_ready_i2), + .D(D[44]), + .Q(Q[44]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[45] + (.C(aclk), + .CE(s_ready_i2), + .D(D[45]), + .Q(Q[45]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[46] + (.C(aclk), + .CE(s_ready_i2), + .D(D[46]), + .Q(Q[46]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[47] + (.C(aclk), + .CE(s_ready_i2), + .D(D[47]), + .Q(Q[47]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[48] + (.C(aclk), + .CE(s_ready_i2), + .D(D[48]), + .Q(Q[48]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[49] + (.C(aclk), + .CE(s_ready_i2), + .D(D[49]), + .Q(Q[49]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[4] + (.C(aclk), + .CE(s_ready_i2), + .D(D[4]), + .Q(Q[4]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[50] + (.C(aclk), + .CE(s_ready_i2), + .D(D[50]), + .Q(Q[50]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[51] + (.C(aclk), + .CE(s_ready_i2), + .D(D[51]), + .Q(Q[51]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[52] + (.C(aclk), + .CE(s_ready_i2), + .D(D[52]), + .Q(Q[52]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[53] + (.C(aclk), + .CE(s_ready_i2), + .D(D[53]), + .Q(Q[53]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[54] + (.C(aclk), + .CE(s_ready_i2), + .D(D[54]), + .Q(Q[54]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[55] + (.C(aclk), + .CE(s_ready_i2), + .D(D[55]), + .Q(Q[55]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[57] + (.C(aclk), + .CE(s_ready_i2), + .D(D[56]), + .Q(Q[56]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[58] + (.C(aclk), + .CE(s_ready_i2), + .D(D[57]), + .Q(Q[57]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[59] + (.C(aclk), + .CE(s_ready_i2), + .D(D[58]), + .Q(Q[58]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[5] + (.C(aclk), + .CE(s_ready_i2), + .D(D[5]), + .Q(Q[5]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[64] + (.C(aclk), + .CE(s_ready_i2), + .D(D[59]), + .Q(Q[59]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[65] + (.C(aclk), + .CE(s_ready_i2), + .D(D[60]), + .Q(Q[60]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[66] + (.C(aclk), + .CE(s_ready_i2), + .D(D[61]), + .Q(Q[61]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[67] + (.C(aclk), + .CE(s_ready_i2), + .D(D[62]), + .Q(Q[62]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[68] + (.C(aclk), + .CE(s_ready_i2), + .D(D[63]), + .Q(Q[63]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[69] + (.C(aclk), + .CE(s_ready_i2), + .D(D[64]), + .Q(Q[64]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[6] + (.C(aclk), + .CE(s_ready_i2), + .D(D[6]), + .Q(Q[6]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[70] + (.C(aclk), + .CE(s_ready_i2), + .D(D[65]), + .Q(Q[65]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[71] + (.C(aclk), + .CE(s_ready_i2), + .D(D[66]), + .Q(Q[66]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[72] + (.C(aclk), + .CE(s_ready_i2), + .D(D[67]), + .Q(Q[67]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[73] + (.C(aclk), + .CE(s_ready_i2), + .D(D[68]), + .Q(Q[68]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[7] + (.C(aclk), + .CE(s_ready_i2), + .D(D[7]), + .Q(Q[7]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[8] + (.C(aclk), + .CE(s_ready_i2), + .D(D[8]), + .Q(Q[8]), + .R(SR)); + FDRE \gen_no_arbiter.m_mesg_i_reg[9] + (.C(aclk), + .CE(s_ready_i2), + .D(D[9]), + .Q(Q[9]), + .R(SR)); + LUT5 #( + .INIT(32'hEFFF2000)) + \gen_no_arbiter.m_target_hot_i[0]_i_1 + (.I0(match), + .I1(\gen_multi_thread.gen_thread_loop[1].active_target_reg[9]_0 ), + .I2(\gen_multi_thread.gen_thread_loop[7].active_target_reg[57] ), + .I3(aresetn_d), + .I4(aa_mi_awtarget_hot[0]), + .O(\gen_no_arbiter.m_target_hot_i[0]_i_1_n_0 )); + FDRE \gen_no_arbiter.m_target_hot_i_reg[0] + (.C(aclk), + .CE(1'b1), + .D(\gen_no_arbiter.m_target_hot_i[0]_i_1_n_0 ), + .Q(aa_mi_awtarget_hot[0]), + .R(1'b0)); + FDRE \gen_no_arbiter.m_target_hot_i_reg[2] + (.C(aclk), + .CE(1'b1), + .D(aresetn_d_reg), + .Q(aa_mi_awtarget_hot[1]), + .R(1'b0)); + FDRE \gen_no_arbiter.m_valid_i_reg + (.C(aclk), + .CE(1'b1), + .D(\gen_no_arbiter.m_valid_i_reg_0 ), + .Q(aa_sa_awvalid), + .R(SR)); + LUT4 #( + .INIT(16'hFFFE)) + \gen_no_arbiter.s_ready_i[0]_i_10 + (.I0(D[35]), + .I1(D[40]), + .I2(D[32]), + .I3(D[42]), + .O(\gen_no_arbiter.s_ready_i[0]_i_10_n_0 )); + LUT5 #( + .INIT(32'hFFFFFEFF)) + \gen_no_arbiter.s_ready_i[0]_i_11 + (.I0(D[36]), + .I1(D[28]), + .I2(D[41]), + .I3(D[43]), + .I4(\gen_no_arbiter.s_ready_i[0]_i_17_n_0 ), + .O(\gen_no_arbiter.s_ready_i[0]_i_11_n_0 )); + LUT4 #( + .INIT(16'hFFEF)) + \gen_no_arbiter.s_ready_i[0]_i_12 + (.I0(ss_aa_awready), + .I1(m_ready_d_0), + .I2(s_axi_awvalid), + .I3(aa_sa_awvalid), + .O(\gen_no_arbiter.s_ready_i_reg[0]_0 )); + LUT4 #( + .INIT(16'hFFFE)) + \gen_no_arbiter.s_ready_i[0]_i_17 + (.I0(D[31]), + .I1(D[34]), + .I2(D[33]), + .I3(D[37]), + .O(\gen_no_arbiter.s_ready_i[0]_i_17_n_0 )); + LUT6 #( + .INIT(64'h0000000000000001)) + \gen_no_arbiter.s_ready_i[0]_i_5 + (.I0(\gen_no_arbiter.s_ready_i[0]_i_10_n_0 ), + .I1(D[38]), + .I2(D[39]), + .I3(D[30]), + .I4(D[29]), + .I5(\gen_no_arbiter.s_ready_i[0]_i_11_n_0 ), + .O(\gen_no_arbiter.m_target_hot_i_reg[2]_0 )); + FDRE \gen_no_arbiter.s_ready_i_reg[0] + (.C(aclk), + .CE(1'b1), + .D(\gen_multi_thread.gen_thread_loop[1].active_target_reg[9] ), + .Q(ss_aa_awready), + .R(1'b0)); + (* SOFT_HLUTNM = "soft_lutpair2" *) + LUT3 #( + .INIT(8'h20)) + \m_axi_awvalid[0]_INST_0 + (.I0(aa_mi_awtarget_hot[0]), + .I1(m_ready_d), + .I2(aa_sa_awvalid), + .O(m_axi_awvalid)); + LUT5 #( + .INIT(32'hFFFFF888)) + \m_ready_d[1]_i_2 + (.I0(mi_awready_2), + .I1(aa_mi_awtarget_hot[1]), + .I2(aa_mi_awtarget_hot[0]), + .I3(m_axi_awready), + .I4(m_ready_d), + .O(\m_ready_d_reg[1] )); +endmodule + +(* ORIG_REF_NAME = "axi_crossbar_v2_1_10_arbiter_resp" *) +module system_design_xbar_1_axi_crossbar_v2_1_10_arbiter_resp + (\gen_no_arbiter.s_ready_i_reg[0] , + \gen_no_arbiter.m_target_hot_i_reg[2] , + \gen_no_arbiter.m_target_hot_i_reg[2]_0 , + \gen_no_arbiter.m_target_hot_i_reg[2]_1 , + SR, + E, + D, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] , + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50] , + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42] , + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34] , + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26] , + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18] , + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10] , + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2] , + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 , + \chosen_reg[2]_0 , + \chosen_reg[0]_0 , + s_axi_bvalid, + \chosen_reg[1]_0 , + S, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0 , + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0 , + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0 , + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0 , + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0 , + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0 , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 , + s_axi_bid, + \gen_no_arbiter.m_valid_i_reg , + active_target, + match, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[11] , + aresetn_d, + aa_mi_awtarget_hot, + CO, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9] , + \s_axi_awaddr[25] , + \gen_multi_thread.gen_thread_loop[5].active_id_reg[70] , + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41] , + Q, + \gen_no_arbiter.s_ready_i_reg[0]_0 , + \gen_no_arbiter.s_ready_i_reg[0]_1 , + \gen_multi_thread.gen_thread_loop[7].active_id_reg[93] , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 , + cmd_push_7, + \gen_multi_thread.gen_thread_loop[6].active_id_reg[81] , + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[49] , + cmd_push_6, + \gen_multi_thread.gen_thread_loop[5].active_id_reg[69] , + cmd_push_5, + \gen_multi_thread.gen_thread_loop[4].active_id_reg[57] , + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33] , + cmd_push_4, + \gen_multi_thread.gen_thread_loop[3].active_id_reg[45] , + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25] , + cmd_push_3, + \gen_multi_thread.gen_thread_loop[2].active_id_reg[33] , + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17] , + cmd_push_2, + \gen_multi_thread.gen_thread_loop[1].active_id_reg[21] , + cmd_push_1, + \gen_multi_thread.gen_thread_loop[0].active_id_reg[9] , + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1] , + cmd_push_0, + \gen_multi_thread.gen_thread_loop[2].active_target_reg[17] , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56] , + \gen_multi_thread.gen_thread_loop[6].active_target_reg[49] , + p_38_out, + p_80_out, + s_axi_bready, + p_60_out, + \gen_multi_thread.gen_thread_loop[0].active_id_reg[11] , + \m_payload_i_reg[3] , + \gen_multi_thread.gen_thread_loop[1].active_id_reg[23] , + \gen_multi_thread.gen_thread_loop[2].active_id_reg[35] , + \gen_multi_thread.gen_thread_loop[3].active_id_reg[47] , + \gen_multi_thread.gen_thread_loop[4].active_id_reg[59] , + \gen_multi_thread.gen_thread_loop[5].active_id_reg[71] , + \gen_multi_thread.gen_thread_loop[6].active_id_reg[83] , + \gen_multi_thread.gen_thread_loop[7].active_id_reg[95] , + st_mr_bid, + \m_payload_i_reg[5] , + \m_payload_i_reg[7] , + \m_payload_i_reg[8] , + \m_payload_i_reg[10] , + \m_payload_i_reg[11] , + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[27] , + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]_0 , + w_issuing_cnt, + \gen_multi_thread.gen_thread_loop[4].active_id_reg[57]_0 , + aa_sa_awvalid, + \m_ready_d_reg[1] , + aclk); + output \gen_no_arbiter.s_ready_i_reg[0] ; + output \gen_no_arbiter.m_target_hot_i_reg[2] ; + output \gen_no_arbiter.m_target_hot_i_reg[2]_0 ; + output \gen_no_arbiter.m_target_hot_i_reg[2]_1 ; + output [0:0]SR; + output [0:0]E; + output [2:0]D; + output [0:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + output [0:0]\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50] ; + output [0:0]\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42] ; + output [0:0]\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34] ; + output [0:0]\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26] ; + output [0:0]\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18] ; + output [0:0]\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10] ; + output [0:0]\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2] ; + output \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 ; + output \chosen_reg[2]_0 ; + output \chosen_reg[0]_0 ; + output [0:0]s_axi_bvalid; + output \chosen_reg[1]_0 ; + output [3:0]S; + output [3:0]\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0 ; + output [3:0]\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0 ; + output [3:0]\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0 ; + output [3:0]\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0 ; + output [3:0]\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0 ; + output [3:0]\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0 ; + output [3:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 ; + output [5:0]s_axi_bid; + output \gen_no_arbiter.m_valid_i_reg ; + input [4:0]active_target; + input match; + input \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[11] ; + input aresetn_d; + input [0:0]aa_mi_awtarget_hot; + input [0:0]CO; + input \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9] ; + input \s_axi_awaddr[25] ; + input [0:0]\gen_multi_thread.gen_thread_loop[5].active_id_reg[70] ; + input \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41] ; + input [3:0]Q; + input \gen_no_arbiter.s_ready_i_reg[0]_0 ; + input \gen_no_arbiter.s_ready_i_reg[0]_1 ; + input [0:0]\gen_multi_thread.gen_thread_loop[7].active_id_reg[93] ; + input \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 ; + input cmd_push_7; + input [0:0]\gen_multi_thread.gen_thread_loop[6].active_id_reg[81] ; + input \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[49] ; + input cmd_push_6; + input [0:0]\gen_multi_thread.gen_thread_loop[5].active_id_reg[69] ; + input cmd_push_5; + input [0:0]\gen_multi_thread.gen_thread_loop[4].active_id_reg[57] ; + input \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33] ; + input cmd_push_4; + input [0:0]\gen_multi_thread.gen_thread_loop[3].active_id_reg[45] ; + input \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25] ; + input cmd_push_3; + input [0:0]\gen_multi_thread.gen_thread_loop[2].active_id_reg[33] ; + input \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17] ; + input cmd_push_2; + input [0:0]\gen_multi_thread.gen_thread_loop[1].active_id_reg[21] ; + input cmd_push_1; + input [0:0]\gen_multi_thread.gen_thread_loop[0].active_id_reg[9] ; + input \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1] ; + input cmd_push_0; + input \gen_multi_thread.gen_thread_loop[2].active_target_reg[17] ; + input \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56] ; + input \gen_multi_thread.gen_thread_loop[6].active_target_reg[49] ; + input p_38_out; + input p_80_out; + input [0:0]s_axi_bready; + input p_60_out; + input [11:0]\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] ; + input \m_payload_i_reg[3] ; + input [11:0]\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] ; + input [11:0]\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] ; + input [11:0]\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] ; + input [11:0]\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] ; + input [11:0]\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] ; + input [11:0]\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] ; + input [11:0]\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] ; + input [17:0]st_mr_bid; + input \m_payload_i_reg[5] ; + input \m_payload_i_reg[7] ; + input \m_payload_i_reg[8] ; + input \m_payload_i_reg[10] ; + input \m_payload_i_reg[11] ; + input \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[27] ; + input \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]_0 ; + input [0:0]w_issuing_cnt; + input [0:0]\gen_multi_thread.gen_thread_loop[4].active_id_reg[57]_0 ; + input aa_sa_awvalid; + input \m_ready_d_reg[1] ; + input aclk; + + wire [0:0]CO; + wire [2:0]D; + wire [0:0]E; + wire [3:0]Q; + wire [3:0]S; + wire [0:0]SR; + wire [0:0]aa_mi_awtarget_hot; + wire aa_sa_awvalid; + wire aclk; + wire [4:0]active_target; + wire aresetn_d; + wire \chosen[0]_i_1__0_n_0 ; + wire \chosen[1]_i_1__0_n_0 ; + wire \chosen[2]_i_1__0_n_0 ; + wire \chosen_reg[0]_0 ; + wire \chosen_reg[1]_0 ; + wire \chosen_reg[2]_0 ; + wire cmd_push_0; + wire cmd_push_1; + wire cmd_push_2; + wire cmd_push_3; + wire cmd_push_4; + wire cmd_push_5; + wire cmd_push_6; + wire cmd_push_7; + wire \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1] ; + wire \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]_0 ; + wire [0:0]\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2] ; + wire \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[0].active_id_reg[9] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10] ; + wire [3:0]\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0 ; + wire \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[11] ; + wire \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[1].active_id_reg[21] ; + wire [11:0]\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] ; + wire \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18] ; + wire [3:0]\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0 ; + wire [0:0]\gen_multi_thread.gen_thread_loop[2].active_id_reg[33] ; + wire [11:0]\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] ; + wire \gen_multi_thread.gen_thread_loop[2].active_target_reg[17] ; + wire \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26] ; + wire [3:0]\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0 ; + wire \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[27] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[3].active_id_reg[45] ; + wire [11:0]\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] ; + wire \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34] ; + wire [3:0]\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0 ; + wire [0:0]\gen_multi_thread.gen_thread_loop[4].active_id_reg[57] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[4].active_id_reg[57]_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] ; + wire \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42] ; + wire [3:0]\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0 ; + wire [0:0]\gen_multi_thread.gen_thread_loop[5].active_id_reg[69] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[5].active_id_reg[70] ; + wire [11:0]\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] ; + wire \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[49] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50] ; + wire [3:0]\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0 ; + wire [0:0]\gen_multi_thread.gen_thread_loop[6].active_id_reg[81] ; + wire [11:0]\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] ; + wire \gen_multi_thread.gen_thread_loop[6].active_target_reg[49] ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + wire [3:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 ; + wire [0:0]\gen_multi_thread.gen_thread_loop[7].active_id_reg[93] ; + wire [11:0]\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] ; + wire \gen_no_arbiter.m_target_hot_i_reg[2] ; + wire \gen_no_arbiter.m_target_hot_i_reg[2]_0 ; + wire \gen_no_arbiter.m_target_hot_i_reg[2]_1 ; + wire \gen_no_arbiter.m_valid_i_reg ; + wire \gen_no_arbiter.s_ready_i[0]_i_15_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_19_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_3_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_6_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_9_n_0 ; + wire \gen_no_arbiter.s_ready_i_reg[0] ; + wire \gen_no_arbiter.s_ready_i_reg[0]_0 ; + wire \gen_no_arbiter.s_ready_i_reg[0]_1 ; + wire \last_rr_hot[0]_i_1_n_0 ; + wire \last_rr_hot[1]_i_1_n_0 ; + wire \last_rr_hot[2]_i_1_n_0 ; + wire \last_rr_hot[2]_i_6_n_0 ; + wire \last_rr_hot_reg_n_0_[0] ; + wire \m_payload_i_reg[10] ; + wire \m_payload_i_reg[11] ; + wire \m_payload_i_reg[3] ; + wire \m_payload_i_reg[5] ; + wire \m_payload_i_reg[7] ; + wire \m_payload_i_reg[8] ; + wire \m_ready_d_reg[1] ; + wire match; + wire need_arbitration; + wire [2:0]next_rr_hot; + wire p_38_out; + wire p_3_in; + wire p_4_in; + wire p_60_out; + wire p_80_out; + wire \s_axi_awaddr[25] ; + wire [5:0]s_axi_bid; + wire \s_axi_bid[0]_INST_0_i_1_n_0 ; + wire \s_axi_bid[10]_INST_0_i_1_n_0 ; + wire \s_axi_bid[11]_INST_0_i_1_n_0 ; + wire \s_axi_bid[2]_INST_0_i_1_n_0 ; + wire \s_axi_bid[4]_INST_0_i_1_n_0 ; + wire \s_axi_bid[7]_INST_0_i_1_n_0 ; + wire [0:0]s_axi_bready; + wire [0:0]s_axi_bvalid; + wire [17:0]st_mr_bid; + wire [0:0]w_issuing_cnt; + + (* SOFT_HLUTNM = "soft_lutpair90" *) + LUT3 #( + .INIT(8'hB8)) + \chosen[0]_i_1__0 + (.I0(next_rr_hot[0]), + .I1(need_arbitration), + .I2(\chosen_reg[0]_0 ), + .O(\chosen[0]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair91" *) + LUT3 #( + .INIT(8'hB8)) + \chosen[1]_i_1__0 + (.I0(next_rr_hot[1]), + .I1(need_arbitration), + .I2(\chosen_reg[1]_0 ), + .O(\chosen[1]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair90" *) + LUT3 #( + .INIT(8'hB8)) + \chosen[2]_i_1__0 + (.I0(next_rr_hot[2]), + .I1(need_arbitration), + .I2(\chosen_reg[2]_0 ), + .O(\chosen[2]_i_1__0_n_0 )); + (* use_clock_enable = "yes" *) + FDRE \chosen_reg[0] + (.C(aclk), + .CE(1'b1), + .D(\chosen[0]_i_1__0_n_0 ), + .Q(\chosen_reg[0]_0 ), + .R(SR)); + (* use_clock_enable = "yes" *) + FDRE \chosen_reg[1] + (.C(aclk), + .CE(1'b1), + .D(\chosen[1]_i_1__0_n_0 ), + .Q(\chosen_reg[1]_0 ), + .R(SR)); + (* use_clock_enable = "yes" *) + FDRE \chosen_reg[2] + (.C(aclk), + .CE(1'b1), + .D(\chosen[2]_i_1__0_n_0 ), + .Q(\chosen_reg[2]_0 ), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair88" *) + LUT4 #( + .INIT(16'h7887)) + \gen_multi_thread.accept_cnt[1]_i_1 + (.I0(\gen_no_arbiter.s_ready_i_reg[0]_1 ), + .I1(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0 ), + .I2(Q[1]), + .I3(Q[0]), + .O(D[0])); + (* SOFT_HLUTNM = "soft_lutpair88" *) + LUT5 #( + .INIT(32'h7FF88007)) + \gen_multi_thread.accept_cnt[2]_i_1 + (.I0(\gen_no_arbiter.s_ready_i_reg[0]_1 ), + .I1(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0 ), + .I2(Q[0]), + .I3(Q[1]), + .I4(Q[2]), + .O(D[1])); + LUT6 #( + .INIT(64'hAAAAAAAA55555554)) + \gen_multi_thread.accept_cnt[3]_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0 ), + .I1(Q[0]), + .I2(Q[1]), + .I3(Q[2]), + .I4(Q[3]), + .I5(\gen_no_arbiter.s_ready_i_reg[0]_1 ), + .O(E)); + LUT6 #( + .INIT(64'h6AAAAAAAAAA9A9A9)) + \gen_multi_thread.accept_cnt[3]_i_2 + (.I0(Q[3]), + .I1(Q[0]), + .I2(Q[1]), + .I3(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0 ), + .I4(\gen_no_arbiter.s_ready_i_reg[0]_1 ), + .I5(Q[2]), + .O(D[2])); + LUT4 #( + .INIT(16'hFB04)) + \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[0].active_id_reg[9] ), + .I2(\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1] ), + .I3(cmd_push_0), + .O(\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2] )); + LUT4 #( + .INIT(16'hFB04)) + \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[1].active_id_reg[21] ), + .I2(\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9] ), + .I3(cmd_push_1), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10] )); + LUT4 #( + .INIT(16'hBF40)) + \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[2].active_id_reg[33] ), + .I2(\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17] ), + .I3(cmd_push_2), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18] )); + LUT4 #( + .INIT(16'hFB04)) + \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[3].active_id_reg[45] ), + .I2(\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25] ), + .I3(cmd_push_3), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26] )); + LUT4 #( + .INIT(16'hBF40)) + \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[4].active_id_reg[57] ), + .I2(\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33] ), + .I3(cmd_push_4), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34] )); + LUT4 #( + .INIT(16'hFB04)) + \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[5].active_id_reg[69] ), + .I2(\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41] ), + .I3(cmd_push_5), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42] )); + LUT4 #( + .INIT(16'hBF40)) + \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[6].active_id_reg[81] ), + .I2(\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[49] ), + .I3(cmd_push_6), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50] )); + LUT4 #( + .INIT(16'hFB04)) + \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[7].active_id_reg[93] ), + .I2(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 ), + .I3(cmd_push_7), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] )); + LUT6 #( + .INIT(64'h80959595FFFFFFFF)) + \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3 + (.I0(\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 ), + .I1(\chosen_reg[2]_0 ), + .I2(p_38_out), + .I3(p_80_out), + .I4(\chosen_reg[0]_0 ), + .I5(s_axi_bready), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0 )); + LUT1 #( + .INIT(2'h1)) + \gen_no_arbiter.m_mesg_i[11]_i_1 + (.I0(aresetn_d), + .O(SR)); + LUT5 #( + .INIT(32'hDFFF1000)) + \gen_no_arbiter.m_target_hot_i[2]_i_1 + (.I0(match), + .I1(\gen_no_arbiter.m_target_hot_i_reg[2]_1 ), + .I2(\gen_no_arbiter.m_target_hot_i_reg[2] ), + .I3(aresetn_d), + .I4(aa_mi_awtarget_hot), + .O(\gen_no_arbiter.m_target_hot_i_reg[2]_0 )); + LUT5 #( + .INIT(32'hFFFF0090)) + \gen_no_arbiter.m_target_hot_i[2]_i_2 + (.I0(active_target[0]), + .I1(match), + .I2(CO), + .I3(\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9] ), + .I4(\gen_no_arbiter.s_ready_i[0]_i_3_n_0 ), + .O(\gen_no_arbiter.m_target_hot_i_reg[2]_1 )); + LUT4 #( + .INIT(16'h2F22)) + \gen_no_arbiter.m_valid_i_i_1 + (.I0(aa_sa_awvalid), + .I1(\m_ready_d_reg[1] ), + .I2(\gen_no_arbiter.m_target_hot_i_reg[2]_1 ), + .I3(\gen_no_arbiter.m_target_hot_i_reg[2] ), + .O(\gen_no_arbiter.m_valid_i_reg )); + LUT6 #( + .INIT(64'h006F000000000000)) + \gen_no_arbiter.s_ready_i[0]_i_1 + (.I0(active_target[0]), + .I1(match), + .I2(\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[11] ), + .I3(\gen_no_arbiter.s_ready_i[0]_i_3_n_0 ), + .I4(\gen_no_arbiter.m_target_hot_i_reg[2] ), + .I5(aresetn_d), + .O(\gen_no_arbiter.s_ready_i_reg[0] )); + LUT6 #( + .INIT(64'h70707070FF707070)) + \gen_no_arbiter.s_ready_i[0]_i_15 + (.I0(\gen_no_arbiter.s_ready_i[0]_i_19_n_0 ), + .I1(s_axi_bready), + .I2(w_issuing_cnt), + .I3(\gen_multi_thread.gen_thread_loop[4].active_id_reg[57]_0 ), + .I4(\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33] ), + .I5(active_target[2]), + .O(\gen_no_arbiter.s_ready_i[0]_i_15_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair89" *) + LUT2 #( + .INIT(4'h8)) + \gen_no_arbiter.s_ready_i[0]_i_19 + (.I0(\chosen_reg[2]_0 ), + .I1(p_38_out), + .O(\gen_no_arbiter.s_ready_i[0]_i_19_n_0 )); + LUT5 #( + .INIT(32'hFFFF0090)) + \gen_no_arbiter.s_ready_i[0]_i_3 + (.I0(active_target[3]), + .I1(\s_axi_awaddr[25] ), + .I2(\gen_multi_thread.gen_thread_loop[5].active_id_reg[70] ), + .I3(\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41] ), + .I4(\gen_no_arbiter.s_ready_i[0]_i_6_n_0 ), + .O(\gen_no_arbiter.s_ready_i[0]_i_3_n_0 )); + LUT6 #( + .INIT(64'h2A002A002AFF2A00)) + \gen_no_arbiter.s_ready_i[0]_i_4 + (.I0(\gen_multi_thread.gen_thread_loop[2].active_target_reg[17] ), + .I1(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56] ), + .I2(active_target[4]), + .I3(\s_axi_awaddr[25] ), + .I4(\gen_multi_thread.gen_thread_loop[6].active_target_reg[49] ), + .I5(\gen_no_arbiter.s_ready_i[0]_i_9_n_0 ), + .O(\gen_no_arbiter.m_target_hot_i_reg[2] )); + LUT6 #( + .INIT(64'hFFFFFFFF01000000)) + \gen_no_arbiter.s_ready_i[0]_i_6 + (.I0(Q[1]), + .I1(Q[0]), + .I2(Q[2]), + .I3(Q[3]), + .I4(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0 ), + .I5(\gen_no_arbiter.s_ready_i_reg[0]_0 ), + .O(\gen_no_arbiter.s_ready_i[0]_i_6_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFFFFF22F2)) + \gen_no_arbiter.s_ready_i[0]_i_9 + (.I0(\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[27] ), + .I1(active_target[1]), + .I2(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56] ), + .I3(active_target[4]), + .I4(\gen_no_arbiter.s_ready_i[0]_i_15_n_0 ), + .I5(\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]_0 ), + .O(\gen_no_arbiter.s_ready_i[0]_i_9_n_0 )); + LUT5 #( + .INIT(32'hDDDF8888)) + \last_rr_hot[0]_i_1 + (.I0(need_arbitration), + .I1(next_rr_hot[0]), + .I2(next_rr_hot[2]), + .I3(next_rr_hot[1]), + .I4(\last_rr_hot_reg_n_0_[0] ), + .O(\last_rr_hot[0]_i_1_n_0 )); + LUT5 #( + .INIT(32'hFF57AA00)) + \last_rr_hot[1]_i_1 + (.I0(need_arbitration), + .I1(next_rr_hot[0]), + .I2(next_rr_hot[2]), + .I3(next_rr_hot[1]), + .I4(p_3_in), + .O(\last_rr_hot[1]_i_1_n_0 )); + LUT5 #( + .INIT(32'hF5F7A0A0)) + \last_rr_hot[2]_i_1 + (.I0(need_arbitration), + .I1(next_rr_hot[0]), + .I2(next_rr_hot[2]), + .I3(next_rr_hot[1]), + .I4(p_4_in), + .O(\last_rr_hot[2]_i_1_n_0 )); + LUT6 #( + .INIT(64'hABBBABBBABBBA8B8)) + \last_rr_hot[2]_i_2 + (.I0(s_axi_bready), + .I1(\last_rr_hot[2]_i_6_n_0 ), + .I2(p_80_out), + .I3(\chosen_reg[0]_0 ), + .I4(p_60_out), + .I5(p_38_out), + .O(need_arbitration)); + LUT6 #( + .INIT(64'h8A888A8A8A888A88)) + \last_rr_hot[2]_i_3__0 + (.I0(p_80_out), + .I1(p_4_in), + .I2(p_38_out), + .I3(p_3_in), + .I4(p_60_out), + .I5(\last_rr_hot_reg_n_0_[0] ), + .O(next_rr_hot[0])); + LUT6 #( + .INIT(64'hAAAAAAAA22220020)) + \last_rr_hot[2]_i_4__0 + (.I0(p_38_out), + .I1(p_60_out), + .I2(p_4_in), + .I3(p_80_out), + .I4(\last_rr_hot_reg_n_0_[0] ), + .I5(p_3_in), + .O(next_rr_hot[2])); + LUT6 #( + .INIT(64'hAAAAAAAA00AA0008)) + \last_rr_hot[2]_i_5__0 + (.I0(p_60_out), + .I1(p_3_in), + .I2(p_38_out), + .I3(p_80_out), + .I4(p_4_in), + .I5(\last_rr_hot_reg_n_0_[0] ), + .O(next_rr_hot[1])); + (* SOFT_HLUTNM = "soft_lutpair89" *) + LUT4 #( + .INIT(16'hF888)) + \last_rr_hot[2]_i_6 + (.I0(p_38_out), + .I1(\chosen_reg[2]_0 ), + .I2(p_60_out), + .I3(\chosen_reg[1]_0 ), + .O(\last_rr_hot[2]_i_6_n_0 )); + FDRE \last_rr_hot_reg[0] + (.C(aclk), + .CE(1'b1), + .D(\last_rr_hot[0]_i_1_n_0 ), + .Q(\last_rr_hot_reg_n_0_[0] ), + .R(SR)); + FDRE \last_rr_hot_reg[1] + (.C(aclk), + .CE(1'b1), + .D(\last_rr_hot[1]_i_1_n_0 ), + .Q(p_3_in), + .R(SR)); + FDSE \last_rr_hot_reg[2] + (.C(aclk), + .CE(1'b1), + .D(\last_rr_hot[2]_i_1_n_0 ), + .Q(p_4_in), + .S(SR)); + LUT6 #( + .INIT(64'h0000066006600000)) + p_0_out_inferred__9_carry_i_1 + (.I0(\m_payload_i_reg[11] ), + .I1(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [9]), + .I2(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [11]), + .I3(\s_axi_bid[11]_INST_0_i_1_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [10]), + .I5(\s_axi_bid[10]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 [3])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_0_out_inferred__9_carry_i_2 + (.I0(\m_payload_i_reg[8] ), + .I1(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [6]), + .I2(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [8]), + .I3(\m_payload_i_reg[10] ), + .I4(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [7]), + .I5(\s_axi_bid[7]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 [2])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_0_out_inferred__9_carry_i_3 + (.I0(\m_payload_i_reg[5] ), + .I1(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [3]), + .I2(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [5]), + .I3(\m_payload_i_reg[7] ), + .I4(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [4]), + .I5(\s_axi_bid[4]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 [1])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_0_out_inferred__9_carry_i_4 + (.I0(\s_axi_bid[0]_INST_0_i_1_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [0]), + .I2(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [2]), + .I3(\s_axi_bid[2]_INST_0_i_1_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [1]), + .I5(\m_payload_i_reg[3] ), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 [0])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_10_out_carry_i_1 + (.I0(\m_payload_i_reg[11] ), + .I1(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [9]), + .I2(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [11]), + .I3(\s_axi_bid[11]_INST_0_i_1_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [10]), + .I5(\s_axi_bid[10]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0 [3])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_10_out_carry_i_2 + (.I0(\m_payload_i_reg[8] ), + .I1(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [6]), + .I2(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [8]), + .I3(\m_payload_i_reg[10] ), + .I4(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [7]), + .I5(\s_axi_bid[7]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0 [2])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_10_out_carry_i_3 + (.I0(\m_payload_i_reg[5] ), + .I1(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [3]), + .I2(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [5]), + .I3(\m_payload_i_reg[7] ), + .I4(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [4]), + .I5(\s_axi_bid[4]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0 [1])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_10_out_carry_i_4 + (.I0(\s_axi_bid[0]_INST_0_i_1_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [0]), + .I2(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [2]), + .I3(\s_axi_bid[2]_INST_0_i_1_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [1]), + .I5(\m_payload_i_reg[3] ), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0 [0])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_12_out_carry_i_1 + (.I0(\m_payload_i_reg[11] ), + .I1(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [9]), + .I2(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [11]), + .I3(\s_axi_bid[11]_INST_0_i_1_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [10]), + .I5(\s_axi_bid[10]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0 [3])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_12_out_carry_i_2 + (.I0(\m_payload_i_reg[8] ), + .I1(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [6]), + .I2(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [8]), + .I3(\m_payload_i_reg[10] ), + .I4(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [7]), + .I5(\s_axi_bid[7]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0 [2])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_12_out_carry_i_3 + (.I0(\m_payload_i_reg[5] ), + .I1(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [3]), + .I2(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [5]), + .I3(\m_payload_i_reg[7] ), + .I4(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [4]), + .I5(\s_axi_bid[4]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0 [1])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_12_out_carry_i_4 + (.I0(\s_axi_bid[0]_INST_0_i_1_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [0]), + .I2(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [2]), + .I3(\s_axi_bid[2]_INST_0_i_1_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [1]), + .I5(\m_payload_i_reg[3] ), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0 [0])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_14_out_carry_i_1 + (.I0(\m_payload_i_reg[11] ), + .I1(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [9]), + .I2(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [11]), + .I3(\s_axi_bid[11]_INST_0_i_1_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [10]), + .I5(\s_axi_bid[10]_INST_0_i_1_n_0 ), + .O(S[3])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_14_out_carry_i_2 + (.I0(\m_payload_i_reg[8] ), + .I1(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [6]), + .I2(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [8]), + .I3(\m_payload_i_reg[10] ), + .I4(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [7]), + .I5(\s_axi_bid[7]_INST_0_i_1_n_0 ), + .O(S[2])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_14_out_carry_i_3 + (.I0(\m_payload_i_reg[5] ), + .I1(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [3]), + .I2(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [5]), + .I3(\m_payload_i_reg[7] ), + .I4(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [4]), + .I5(\s_axi_bid[4]_INST_0_i_1_n_0 ), + .O(S[1])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_14_out_carry_i_4 + (.I0(\s_axi_bid[0]_INST_0_i_1_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [0]), + .I2(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [2]), + .I3(\s_axi_bid[2]_INST_0_i_1_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [1]), + .I5(\m_payload_i_reg[3] ), + .O(S[0])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_2_out_carry_i_1 + (.I0(\m_payload_i_reg[11] ), + .I1(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [9]), + .I2(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [11]), + .I3(\s_axi_bid[11]_INST_0_i_1_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [10]), + .I5(\s_axi_bid[10]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0 [3])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_2_out_carry_i_2 + (.I0(\m_payload_i_reg[8] ), + .I1(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [6]), + .I2(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [8]), + .I3(\m_payload_i_reg[10] ), + .I4(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [7]), + .I5(\s_axi_bid[7]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0 [2])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_2_out_carry_i_3 + (.I0(\m_payload_i_reg[5] ), + .I1(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [3]), + .I2(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [5]), + .I3(\m_payload_i_reg[7] ), + .I4(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [4]), + .I5(\s_axi_bid[4]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0 [1])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_2_out_carry_i_4 + (.I0(\s_axi_bid[0]_INST_0_i_1_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [0]), + .I2(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [2]), + .I3(\s_axi_bid[2]_INST_0_i_1_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [1]), + .I5(\m_payload_i_reg[3] ), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0 [0])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_4_out_carry_i_1 + (.I0(\m_payload_i_reg[11] ), + .I1(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [9]), + .I2(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [11]), + .I3(\s_axi_bid[11]_INST_0_i_1_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [10]), + .I5(\s_axi_bid[10]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0 [3])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_4_out_carry_i_2 + (.I0(\m_payload_i_reg[8] ), + .I1(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [6]), + .I2(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [8]), + .I3(\m_payload_i_reg[10] ), + .I4(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [7]), + .I5(\s_axi_bid[7]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0 [2])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_4_out_carry_i_3 + (.I0(\m_payload_i_reg[5] ), + .I1(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [3]), + .I2(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [5]), + .I3(\m_payload_i_reg[7] ), + .I4(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [4]), + .I5(\s_axi_bid[4]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0 [1])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_4_out_carry_i_4 + (.I0(\s_axi_bid[0]_INST_0_i_1_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [0]), + .I2(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [2]), + .I3(\s_axi_bid[2]_INST_0_i_1_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [1]), + .I5(\m_payload_i_reg[3] ), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0 [0])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_6_out_carry_i_1 + (.I0(\m_payload_i_reg[11] ), + .I1(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [9]), + .I2(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [11]), + .I3(\s_axi_bid[11]_INST_0_i_1_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [10]), + .I5(\s_axi_bid[10]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0 [3])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_6_out_carry_i_2 + (.I0(\m_payload_i_reg[8] ), + .I1(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [6]), + .I2(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [8]), + .I3(\m_payload_i_reg[10] ), + .I4(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [7]), + .I5(\s_axi_bid[7]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0 [2])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_6_out_carry_i_3 + (.I0(\m_payload_i_reg[5] ), + .I1(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [3]), + .I2(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [5]), + .I3(\m_payload_i_reg[7] ), + .I4(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [4]), + .I5(\s_axi_bid[4]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0 [1])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_6_out_carry_i_4 + (.I0(\s_axi_bid[0]_INST_0_i_1_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [0]), + .I2(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [2]), + .I3(\s_axi_bid[2]_INST_0_i_1_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [1]), + .I5(\m_payload_i_reg[3] ), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0 [0])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_8_out_carry_i_1 + (.I0(\m_payload_i_reg[11] ), + .I1(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [9]), + .I2(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [11]), + .I3(\s_axi_bid[11]_INST_0_i_1_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [10]), + .I5(\s_axi_bid[10]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0 [3])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_8_out_carry_i_2 + (.I0(\m_payload_i_reg[8] ), + .I1(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [6]), + .I2(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [8]), + .I3(\m_payload_i_reg[10] ), + .I4(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [7]), + .I5(\s_axi_bid[7]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0 [2])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_8_out_carry_i_3 + (.I0(\m_payload_i_reg[5] ), + .I1(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [3]), + .I2(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [5]), + .I3(\m_payload_i_reg[7] ), + .I4(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [4]), + .I5(\s_axi_bid[4]_INST_0_i_1_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0 [1])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_8_out_carry_i_4 + (.I0(\s_axi_bid[0]_INST_0_i_1_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [0]), + .I2(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [2]), + .I3(\s_axi_bid[2]_INST_0_i_1_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [1]), + .I5(\m_payload_i_reg[3] ), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0 [0])); + LUT1 #( + .INIT(2'h1)) + \s_axi_bid[0]_INST_0 + (.I0(\s_axi_bid[0]_INST_0_i_1_n_0 ), + .O(s_axi_bid[0])); + LUT6 #( + .INIT(64'hF0353535FF353535)) + \s_axi_bid[0]_INST_0_i_1 + (.I0(st_mr_bid[0]), + .I1(st_mr_bid[6]), + .I2(\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 ), + .I3(\chosen_reg[2]_0 ), + .I4(p_38_out), + .I5(st_mr_bid[12]), + .O(\s_axi_bid[0]_INST_0_i_1_n_0 )); + LUT1 #( + .INIT(2'h1)) + \s_axi_bid[10]_INST_0 + (.I0(\s_axi_bid[10]_INST_0_i_1_n_0 ), + .O(s_axi_bid[4])); + LUT6 #( + .INIT(64'hF0353535FF353535)) + \s_axi_bid[10]_INST_0_i_1 + (.I0(st_mr_bid[4]), + .I1(st_mr_bid[10]), + .I2(\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 ), + .I3(\chosen_reg[2]_0 ), + .I4(p_38_out), + .I5(st_mr_bid[16]), + .O(\s_axi_bid[10]_INST_0_i_1_n_0 )); + LUT1 #( + .INIT(2'h1)) + \s_axi_bid[11]_INST_0 + (.I0(\s_axi_bid[11]_INST_0_i_1_n_0 ), + .O(s_axi_bid[5])); + LUT6 #( + .INIT(64'hF0535353FF535353)) + \s_axi_bid[11]_INST_0_i_1 + (.I0(st_mr_bid[11]), + .I1(st_mr_bid[5]), + .I2(\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 ), + .I3(\chosen_reg[2]_0 ), + .I4(p_38_out), + .I5(st_mr_bid[17]), + .O(\s_axi_bid[11]_INST_0_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair91" *) + LUT2 #( + .INIT(4'h8)) + \s_axi_bid[11]_INST_0_i_2 + (.I0(\chosen_reg[1]_0 ), + .I1(p_60_out), + .O(\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 )); + LUT1 #( + .INIT(2'h1)) + \s_axi_bid[2]_INST_0 + (.I0(\s_axi_bid[2]_INST_0_i_1_n_0 ), + .O(s_axi_bid[1])); + LUT6 #( + .INIT(64'hF0353535FF353535)) + \s_axi_bid[2]_INST_0_i_1 + (.I0(st_mr_bid[1]), + .I1(st_mr_bid[7]), + .I2(\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 ), + .I3(\chosen_reg[2]_0 ), + .I4(p_38_out), + .I5(st_mr_bid[13]), + .O(\s_axi_bid[2]_INST_0_i_1_n_0 )); + LUT1 #( + .INIT(2'h1)) + \s_axi_bid[4]_INST_0 + (.I0(\s_axi_bid[4]_INST_0_i_1_n_0 ), + .O(s_axi_bid[2])); + LUT6 #( + .INIT(64'hF0353535FF353535)) + \s_axi_bid[4]_INST_0_i_1 + (.I0(st_mr_bid[2]), + .I1(st_mr_bid[8]), + .I2(\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 ), + .I3(\chosen_reg[2]_0 ), + .I4(p_38_out), + .I5(st_mr_bid[14]), + .O(\s_axi_bid[4]_INST_0_i_1_n_0 )); + LUT1 #( + .INIT(2'h1)) + \s_axi_bid[7]_INST_0 + (.I0(\s_axi_bid[7]_INST_0_i_1_n_0 ), + .O(s_axi_bid[3])); + LUT6 #( + .INIT(64'hF0535353FF535353)) + \s_axi_bid[7]_INST_0_i_1 + (.I0(st_mr_bid[9]), + .I1(st_mr_bid[3]), + .I2(\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 ), + .I3(\chosen_reg[2]_0 ), + .I4(p_38_out), + .I5(st_mr_bid[15]), + .O(\s_axi_bid[7]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'hFFFFF888F888F888)) + \s_axi_bvalid[0]_INST_0 + (.I0(\chosen_reg[1]_0 ), + .I1(p_60_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_38_out), + .I4(p_80_out), + .I5(\chosen_reg[0]_0 ), + .O(s_axi_bvalid)); +endmodule + +(* ORIG_REF_NAME = "axi_crossbar_v2_1_10_arbiter_resp" *) +module system_design_xbar_1_axi_crossbar_v2_1_10_arbiter_resp_4 + (\gen_no_arbiter.s_ready_i_reg[0] , + m_valid_i, + \gen_no_arbiter.m_target_hot_i_reg[2] , + D, + E, + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2] , + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10] , + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18] , + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26] , + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34] , + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42] , + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50] , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] , + s_axi_rlast, + \chosen_reg[0]_0 , + s_axi_rvalid, + \chosen_reg[2]_0 , + \chosen_reg[1]_0 , + s_axi_rresp, + S, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0 , + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0 , + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0 , + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0 , + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0 , + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0 , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 , + s_axi_rid, + s_axi_rdata, + aresetn_d, + match, + \gen_no_arbiter.m_target_hot_i_reg[2]_0 , + \gen_multi_thread.gen_thread_loop[1].active_target_reg[9] , + \gen_multi_thread.gen_thread_loop[0].active_target_reg[1] , + \gen_master_slots[2].r_issuing_cnt_reg[16] , + \gen_multi_thread.gen_thread_loop[4].active_target_reg[33] , + \gen_multi_thread.gen_thread_loop[5].active_target_reg[41] , + \gen_multi_thread.accept_cnt_reg[1] , + aa_mi_arvalid, + S_AXI_ARREADY, + s_axi_arvalid, + Q, + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1] , + CO, + cmd_push_0, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_1 , + \gen_multi_thread.gen_thread_loop[1].active_id_reg[21] , + cmd_push_1, + \gen_multi_thread.gen_thread_loop[2].active_id_reg[33] , + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17] , + cmd_push_2, + \gen_multi_thread.gen_thread_loop[3].active_id_reg[45] , + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25] , + cmd_push_3, + \gen_multi_thread.gen_thread_loop[4].active_id_reg[57] , + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33] , + cmd_push_4, + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41] , + \gen_multi_thread.gen_thread_loop[5].active_id_reg[69] , + cmd_push_5, + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_1 , + \gen_multi_thread.gen_thread_loop[6].active_id_reg[81] , + cmd_push_6, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 , + \gen_multi_thread.gen_thread_loop[7].active_id_reg[93] , + cmd_push_7, + p_74_out, + s_axi_rready, + p_32_out, + p_54_out, + st_mr_rmesg, + \gen_multi_thread.gen_thread_loop[0].active_id_reg[11] , + \gen_multi_thread.gen_thread_loop[1].active_id_reg[23] , + \gen_multi_thread.gen_thread_loop[2].active_id_reg[35] , + \gen_multi_thread.gen_thread_loop[3].active_id_reg[47] , + \gen_multi_thread.gen_thread_loop[4].active_id_reg[59] , + \gen_multi_thread.gen_thread_loop[5].active_id_reg[71] , + \gen_multi_thread.gen_thread_loop[6].active_id_reg[83] , + \gen_multi_thread.gen_thread_loop[7].active_id_reg[95] , + st_mr_rid, + \m_payload_i_reg[34] , + \m_payload_i_reg[34]_0 , + \m_payload_i_reg[34]_1 , + SR, + aclk); + output \gen_no_arbiter.s_ready_i_reg[0] ; + output m_valid_i; + output \gen_no_arbiter.m_target_hot_i_reg[2] ; + output [2:0]D; + output [0:0]E; + output [0:0]\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2] ; + output [0:0]\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10] ; + output [0:0]\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18] ; + output [0:0]\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26] ; + output [0:0]\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34] ; + output [0:0]\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42] ; + output [0:0]\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50] ; + output [0:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + output [0:0]s_axi_rlast; + output \chosen_reg[0]_0 ; + output [0:0]s_axi_rvalid; + output \chosen_reg[2]_0 ; + output \chosen_reg[1]_0 ; + output [0:0]s_axi_rresp; + output [3:0]S; + output [3:0]\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0 ; + output [3:0]\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0 ; + output [3:0]\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0 ; + output [3:0]\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0 ; + output [3:0]\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0 ; + output [3:0]\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0 ; + output [3:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 ; + output [11:0]s_axi_rid; + output [19:0]s_axi_rdata; + input aresetn_d; + input match; + input [0:0]\gen_no_arbiter.m_target_hot_i_reg[2]_0 ; + input \gen_multi_thread.gen_thread_loop[1].active_target_reg[9] ; + input \gen_multi_thread.gen_thread_loop[0].active_target_reg[1] ; + input \gen_master_slots[2].r_issuing_cnt_reg[16] ; + input \gen_multi_thread.gen_thread_loop[4].active_target_reg[33] ; + input \gen_multi_thread.gen_thread_loop[5].active_target_reg[41] ; + input \gen_multi_thread.accept_cnt_reg[1] ; + input aa_mi_arvalid; + input [0:0]S_AXI_ARREADY; + input [0:0]s_axi_arvalid; + input [3:0]Q; + input \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1] ; + input [0:0]CO; + input cmd_push_0; + input \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_1 ; + input [0:0]\gen_multi_thread.gen_thread_loop[1].active_id_reg[21] ; + input cmd_push_1; + input [0:0]\gen_multi_thread.gen_thread_loop[2].active_id_reg[33] ; + input \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17] ; + input cmd_push_2; + input [0:0]\gen_multi_thread.gen_thread_loop[3].active_id_reg[45] ; + input \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25] ; + input cmd_push_3; + input [0:0]\gen_multi_thread.gen_thread_loop[4].active_id_reg[57] ; + input \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33] ; + input cmd_push_4; + input \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41] ; + input [0:0]\gen_multi_thread.gen_thread_loop[5].active_id_reg[69] ; + input cmd_push_5; + input \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_1 ; + input [0:0]\gen_multi_thread.gen_thread_loop[6].active_id_reg[81] ; + input cmd_push_6; + input \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 ; + input [0:0]\gen_multi_thread.gen_thread_loop[7].active_id_reg[93] ; + input cmd_push_7; + input p_74_out; + input [0:0]s_axi_rready; + input p_32_out; + input p_54_out; + input [41:0]st_mr_rmesg; + input [11:0]\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] ; + input [11:0]\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] ; + input [11:0]\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] ; + input [11:0]\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] ; + input [11:0]\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] ; + input [11:0]\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] ; + input [11:0]\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] ; + input [11:0]\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] ; + input [35:0]st_mr_rid; + input [0:0]\m_payload_i_reg[34] ; + input [0:0]\m_payload_i_reg[34]_0 ; + input [0:0]\m_payload_i_reg[34]_1 ; + input [0:0]SR; + input aclk; + + wire [0:0]CO; + wire [2:0]D; + wire [0:0]E; + wire [3:0]Q; + wire [3:0]S; + wire [0:0]SR; + wire [0:0]S_AXI_ARREADY; + wire aa_mi_arvalid; + wire aclk; + wire aresetn_d; + wire \chosen[0]_i_1_n_0 ; + wire \chosen[1]_i_1_n_0 ; + wire \chosen[2]_i_1_n_0 ; + wire \chosen_reg[0]_0 ; + wire \chosen_reg[1]_0 ; + wire \chosen_reg[2]_0 ; + wire cmd_push_0; + wire cmd_push_1; + wire cmd_push_2; + wire cmd_push_3; + wire cmd_push_4; + wire cmd_push_5; + wire cmd_push_6; + wire cmd_push_7; + wire \gen_master_slots[2].r_issuing_cnt_reg[16] ; + wire \gen_multi_thread.accept_cnt_reg[1] ; + wire \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2] ; + wire [11:0]\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] ; + wire \gen_multi_thread.gen_thread_loop[0].active_target_reg[1] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10] ; + wire [3:0]\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0 ; + wire \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_1 ; + wire [0:0]\gen_multi_thread.gen_thread_loop[1].active_id_reg[21] ; + wire [11:0]\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] ; + wire \gen_multi_thread.gen_thread_loop[1].active_target_reg[9] ; + wire \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18] ; + wire [3:0]\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0 ; + wire [0:0]\gen_multi_thread.gen_thread_loop[2].active_id_reg[33] ; + wire [11:0]\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] ; + wire \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26] ; + wire [3:0]\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0 ; + wire [0:0]\gen_multi_thread.gen_thread_loop[3].active_id_reg[45] ; + wire [11:0]\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] ; + wire \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34] ; + wire [3:0]\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0 ; + wire [0:0]\gen_multi_thread.gen_thread_loop[4].active_id_reg[57] ; + wire [11:0]\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] ; + wire \gen_multi_thread.gen_thread_loop[4].active_target_reg[33] ; + wire \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42] ; + wire [3:0]\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0 ; + wire [0:0]\gen_multi_thread.gen_thread_loop[5].active_id_reg[69] ; + wire [11:0]\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] ; + wire \gen_multi_thread.gen_thread_loop[5].active_target_reg[41] ; + wire [0:0]\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50] ; + wire [3:0]\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0 ; + wire \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_1 ; + wire [0:0]\gen_multi_thread.gen_thread_loop[6].active_id_reg[81] ; + wire [11:0]\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0 ; + wire [0:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + wire [3:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 ; + wire [0:0]\gen_multi_thread.gen_thread_loop[7].active_id_reg[93] ; + wire [11:0]\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] ; + wire \gen_no_arbiter.m_target_hot_i_reg[2] ; + wire [0:0]\gen_no_arbiter.m_target_hot_i_reg[2]_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_7__0_n_0 ; + wire \gen_no_arbiter.s_ready_i_reg[0] ; + wire \last_rr_hot[0]_i_1__0_n_0 ; + wire \last_rr_hot[1]_i_1__0_n_0 ; + wire \last_rr_hot[2]_i_1__0_n_0 ; + wire \last_rr_hot_reg_n_0_[0] ; + wire [0:0]\m_payload_i_reg[34] ; + wire [0:0]\m_payload_i_reg[34]_0 ; + wire [0:0]\m_payload_i_reg[34]_1 ; + wire m_valid_i; + wire match; + wire need_arbitration; + wire [2:0]next_rr_hot; + wire p_0_out_inferred__9_carry_i_10_n_0; + wire p_0_out_inferred__9_carry_i_11_n_0; + wire p_0_out_inferred__9_carry_i_12_n_0; + wire p_0_out_inferred__9_carry_i_13_n_0; + wire p_0_out_inferred__9_carry_i_14_n_0; + wire p_0_out_inferred__9_carry_i_15_n_0; + wire p_0_out_inferred__9_carry_i_16_n_0; + wire p_0_out_inferred__9_carry_i_5_n_0; + wire p_0_out_inferred__9_carry_i_6_n_0; + wire p_0_out_inferred__9_carry_i_7_n_0; + wire p_0_out_inferred__9_carry_i_8_n_0; + wire p_0_out_inferred__9_carry_i_9_n_0; + wire p_32_out; + wire p_3_in; + wire p_4_in; + wire p_54_out; + wire p_74_out; + wire [0:0]s_axi_arvalid; + wire [19:0]s_axi_rdata; + wire [11:0]s_axi_rid; + wire \s_axi_rid[11]_INST_0_i_1_n_0 ; + wire \s_axi_rid[11]_INST_0_i_2_n_0 ; + wire \s_axi_rid[11]_INST_0_i_3_n_0 ; + wire [0:0]s_axi_rlast; + wire [0:0]s_axi_rready; + wire [0:0]s_axi_rresp; + wire [0:0]s_axi_rvalid; + wire [35:0]st_mr_rid; + wire [41:0]st_mr_rmesg; + + (* SOFT_HLUTNM = "soft_lutpair62" *) + LUT3 #( + .INIT(8'hB8)) + \chosen[0]_i_1 + (.I0(next_rr_hot[0]), + .I1(need_arbitration), + .I2(\chosen_reg[0]_0 ), + .O(\chosen[0]_i_1_n_0 )); + LUT3 #( + .INIT(8'hB8)) + \chosen[1]_i_1 + (.I0(next_rr_hot[1]), + .I1(need_arbitration), + .I2(\chosen_reg[1]_0 ), + .O(\chosen[1]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair62" *) + LUT3 #( + .INIT(8'hB8)) + \chosen[2]_i_1 + (.I0(next_rr_hot[2]), + .I1(need_arbitration), + .I2(\chosen_reg[2]_0 ), + .O(\chosen[2]_i_1_n_0 )); + (* use_clock_enable = "yes" *) + FDRE \chosen_reg[0] + (.C(aclk), + .CE(1'b1), + .D(\chosen[0]_i_1_n_0 ), + .Q(\chosen_reg[0]_0 ), + .R(SR)); + (* use_clock_enable = "yes" *) + FDRE \chosen_reg[1] + (.C(aclk), + .CE(1'b1), + .D(\chosen[1]_i_1_n_0 ), + .Q(\chosen_reg[1]_0 ), + .R(SR)); + (* use_clock_enable = "yes" *) + FDRE \chosen_reg[2] + (.C(aclk), + .CE(1'b1), + .D(\chosen[2]_i_1_n_0 ), + .Q(\chosen_reg[2]_0 ), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair59" *) + LUT4 #( + .INIT(16'hD22D)) + \gen_multi_thread.accept_cnt[1]_i_1__0 + (.I0(S_AXI_ARREADY), + .I1(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0 ), + .I2(Q[1]), + .I3(Q[0]), + .O(D[0])); + (* SOFT_HLUTNM = "soft_lutpair59" *) + LUT5 #( + .INIT(32'hDFBA2045)) + \gen_multi_thread.accept_cnt[2]_i_1__0 + (.I0(Q[0]), + .I1(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0 ), + .I2(S_AXI_ARREADY), + .I3(Q[1]), + .I4(Q[2]), + .O(D[1])); + LUT6 #( + .INIT(64'h0000FFFFFFFE0000)) + \gen_multi_thread.accept_cnt[3]_i_1__0 + (.I0(Q[2]), + .I1(Q[3]), + .I2(Q[0]), + .I3(Q[1]), + .I4(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0 ), + .I5(S_AXI_ARREADY), + .O(E)); + LUT6 #( + .INIT(64'hA6AAAAAAAAAA9A99)) + \gen_multi_thread.accept_cnt[3]_i_2__0 + (.I0(Q[3]), + .I1(Q[2]), + .I2(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0 ), + .I3(S_AXI_ARREADY), + .I4(Q[0]), + .I5(Q[1]), + .O(D[2])); + LUT4 #( + .INIT(16'hBF40)) + \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_1__0 + (.I0(\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1] ), + .I1(CO), + .I2(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0 ), + .I3(cmd_push_0), + .O(\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2] )); + LUT4 #( + .INIT(16'hBF40)) + \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_1__0 + (.I0(\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_1 ), + .I1(\gen_multi_thread.gen_thread_loop[1].active_id_reg[21] ), + .I2(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0 ), + .I3(cmd_push_1), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10] )); + LUT4 #( + .INIT(16'h7F80)) + \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_1__0 + (.I0(\gen_multi_thread.gen_thread_loop[2].active_id_reg[33] ), + .I1(\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17] ), + .I2(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0 ), + .I3(cmd_push_2), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18] )); + LUT4 #( + .INIT(16'h7F80)) + \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_1__0 + (.I0(\gen_multi_thread.gen_thread_loop[3].active_id_reg[45] ), + .I1(\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25] ), + .I2(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0 ), + .I3(cmd_push_3), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26] )); + LUT4 #( + .INIT(16'h7F80)) + \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_1__0 + (.I0(\gen_multi_thread.gen_thread_loop[4].active_id_reg[57] ), + .I1(\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33] ), + .I2(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0 ), + .I3(cmd_push_4), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34] )); + LUT4 #( + .INIT(16'hBF40)) + \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_1__0 + (.I0(\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41] ), + .I1(\gen_multi_thread.gen_thread_loop[5].active_id_reg[69] ), + .I2(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0 ), + .I3(cmd_push_5), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42] )); + LUT4 #( + .INIT(16'hBF40)) + \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_1__0 + (.I0(\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_1 ), + .I1(\gen_multi_thread.gen_thread_loop[6].active_id_reg[81] ), + .I2(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0 ), + .I3(cmd_push_6), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50] )); + LUT4 #( + .INIT(16'hBF40)) + \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_1__0 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 ), + .I1(\gen_multi_thread.gen_thread_loop[7].active_id_reg[93] ), + .I2(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0 ), + .I3(cmd_push_7), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] )); + LUT5 #( + .INIT(32'hA8880000)) + \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0 + (.I0(s_axi_rlast), + .I1(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I2(p_74_out), + .I3(\chosen_reg[0]_0 ), + .I4(s_axi_rready), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair60" *) + LUT4 #( + .INIT(16'h7F40)) + \gen_no_arbiter.m_target_hot_i[2]_i_1__0 + (.I0(match), + .I1(m_valid_i), + .I2(aresetn_d), + .I3(\gen_no_arbiter.m_target_hot_i_reg[2]_0 ), + .O(\gen_no_arbiter.m_target_hot_i_reg[2] )); + (* SOFT_HLUTNM = "soft_lutpair60" *) + LUT2 #( + .INIT(4'h8)) + \gen_no_arbiter.s_ready_i[0]_i_1__0 + (.I0(m_valid_i), + .I1(aresetn_d), + .O(\gen_no_arbiter.s_ready_i_reg[0] )); + LUT6 #( + .INIT(64'h00000000000022F2)) + \gen_no_arbiter.s_ready_i[0]_i_2__0 + (.I0(\gen_multi_thread.gen_thread_loop[1].active_target_reg[9] ), + .I1(\gen_multi_thread.gen_thread_loop[0].active_target_reg[1] ), + .I2(\gen_master_slots[2].r_issuing_cnt_reg[16] ), + .I3(\gen_multi_thread.gen_thread_loop[4].active_target_reg[33] ), + .I4(\gen_no_arbiter.s_ready_i[0]_i_7__0_n_0 ), + .I5(\gen_multi_thread.gen_thread_loop[5].active_target_reg[41] ), + .O(m_valid_i)); + LUT5 #( + .INIT(32'hFFF1FFFF)) + \gen_no_arbiter.s_ready_i[0]_i_7__0 + (.I0(\gen_multi_thread.accept_cnt_reg[1] ), + .I1(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0 ), + .I2(aa_mi_arvalid), + .I3(S_AXI_ARREADY), + .I4(s_axi_arvalid), + .O(\gen_no_arbiter.s_ready_i[0]_i_7__0_n_0 )); + LUT5 #( + .INIT(32'hF5F7A0A0)) + \last_rr_hot[0]_i_1__0 + (.I0(need_arbitration), + .I1(next_rr_hot[1]), + .I2(next_rr_hot[0]), + .I3(next_rr_hot[2]), + .I4(\last_rr_hot_reg_n_0_[0] ), + .O(\last_rr_hot[0]_i_1__0_n_0 )); + LUT5 #( + .INIT(32'hDDDF8888)) + \last_rr_hot[1]_i_1__0 + (.I0(need_arbitration), + .I1(next_rr_hot[1]), + .I2(next_rr_hot[0]), + .I3(next_rr_hot[2]), + .I4(p_3_in), + .O(\last_rr_hot[1]_i_1__0_n_0 )); + LUT5 #( + .INIT(32'hFF57AA00)) + \last_rr_hot[2]_i_1__0 + (.I0(need_arbitration), + .I1(next_rr_hot[1]), + .I2(next_rr_hot[0]), + .I3(next_rr_hot[2]), + .I4(p_4_in), + .O(\last_rr_hot[2]_i_1__0_n_0 )); + LUT6 #( + .INIT(64'hABBBABBBABBBA8B8)) + \last_rr_hot[2]_i_2__0 + (.I0(s_axi_rready), + .I1(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I2(p_74_out), + .I3(\chosen_reg[0]_0 ), + .I4(p_32_out), + .I5(p_54_out), + .O(need_arbitration)); + LUT6 #( + .INIT(64'h8A888A8A8A888A88)) + \last_rr_hot[2]_i_3 + (.I0(p_54_out), + .I1(\last_rr_hot_reg_n_0_[0] ), + .I2(p_74_out), + .I3(p_4_in), + .I4(p_32_out), + .I5(p_3_in), + .O(next_rr_hot[1])); + LUT6 #( + .INIT(64'hAAAAAAAA22220020)) + \last_rr_hot[2]_i_4 + (.I0(p_74_out), + .I1(p_32_out), + .I2(\last_rr_hot_reg_n_0_[0] ), + .I3(p_54_out), + .I4(p_3_in), + .I5(p_4_in), + .O(next_rr_hot[0])); + LUT6 #( + .INIT(64'hAAAAAAAA00AA0008)) + \last_rr_hot[2]_i_5 + (.I0(p_32_out), + .I1(p_4_in), + .I2(p_74_out), + .I3(p_54_out), + .I4(\last_rr_hot_reg_n_0_[0] ), + .I5(p_3_in), + .O(next_rr_hot[2])); + FDRE \last_rr_hot_reg[0] + (.C(aclk), + .CE(1'b1), + .D(\last_rr_hot[0]_i_1__0_n_0 ), + .Q(\last_rr_hot_reg_n_0_[0] ), + .R(SR)); + FDRE \last_rr_hot_reg[1] + (.C(aclk), + .CE(1'b1), + .D(\last_rr_hot[1]_i_1__0_n_0 ), + .Q(p_3_in), + .R(SR)); + FDSE \last_rr_hot_reg[2] + (.C(aclk), + .CE(1'b1), + .D(\last_rr_hot[2]_i_1__0_n_0 ), + .Q(p_4_in), + .S(SR)); + LUT6 #( + .INIT(64'hBB0BBB0B0000BB0B)) + p_0_out_inferred__9_carry_i_10 + (.I0(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .I1(st_mr_rid[31]), + .I2(st_mr_rid[7]), + .I3(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I4(st_mr_rid[19]), + .I5(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .O(p_0_out_inferred__9_carry_i_10_n_0)); + LUT6 #( + .INIT(64'hBB0BBB0B0000BB0B)) + p_0_out_inferred__9_carry_i_11 + (.I0(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .I1(st_mr_rid[15]), + .I2(st_mr_rid[27]), + .I3(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .I4(st_mr_rid[3]), + .I5(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .O(p_0_out_inferred__9_carry_i_11_n_0)); + LUT6 #( + .INIT(64'hBB0BBB0B0000BB0B)) + p_0_out_inferred__9_carry_i_12 + (.I0(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .I1(st_mr_rid[17]), + .I2(st_mr_rid[29]), + .I3(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .I4(st_mr_rid[5]), + .I5(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .O(p_0_out_inferred__9_carry_i_12_n_0)); + LUT6 #( + .INIT(64'hBB0BBB0B0000BB0B)) + p_0_out_inferred__9_carry_i_13 + (.I0(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .I1(st_mr_rid[16]), + .I2(st_mr_rid[4]), + .I3(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I4(st_mr_rid[28]), + .I5(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .O(p_0_out_inferred__9_carry_i_13_n_0)); + LUT6 #( + .INIT(64'hBB0BBB0B0000BB0B)) + p_0_out_inferred__9_carry_i_14 + (.I0(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .I1(st_mr_rid[12]), + .I2(st_mr_rid[0]), + .I3(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I4(st_mr_rid[24]), + .I5(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .O(p_0_out_inferred__9_carry_i_14_n_0)); + LUT6 #( + .INIT(64'hBB0BBB0B0000BB0B)) + p_0_out_inferred__9_carry_i_15 + (.I0(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .I1(st_mr_rid[26]), + .I2(st_mr_rid[2]), + .I3(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I4(st_mr_rid[14]), + .I5(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .O(p_0_out_inferred__9_carry_i_15_n_0)); + LUT6 #( + .INIT(64'hBB0BBB0B0000BB0B)) + p_0_out_inferred__9_carry_i_16 + (.I0(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .I1(st_mr_rid[13]), + .I2(st_mr_rid[25]), + .I3(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .I4(st_mr_rid[1]), + .I5(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .O(p_0_out_inferred__9_carry_i_16_n_0)); + LUT6 #( + .INIT(64'h0000066006600000)) + p_0_out_inferred__9_carry_i_1__0 + (.I0(p_0_out_inferred__9_carry_i_5_n_0), + .I1(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [9]), + .I2(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [11]), + .I3(p_0_out_inferred__9_carry_i_6_n_0), + .I4(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [10]), + .I5(p_0_out_inferred__9_carry_i_7_n_0), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 [3])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_0_out_inferred__9_carry_i_2__0 + (.I0(p_0_out_inferred__9_carry_i_8_n_0), + .I1(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [6]), + .I2(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [8]), + .I3(p_0_out_inferred__9_carry_i_9_n_0), + .I4(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [7]), + .I5(p_0_out_inferred__9_carry_i_10_n_0), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 [2])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_0_out_inferred__9_carry_i_3__0 + (.I0(p_0_out_inferred__9_carry_i_11_n_0), + .I1(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [3]), + .I2(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [5]), + .I3(p_0_out_inferred__9_carry_i_12_n_0), + .I4(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [4]), + .I5(p_0_out_inferred__9_carry_i_13_n_0), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 [1])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_0_out_inferred__9_carry_i_4__0 + (.I0(p_0_out_inferred__9_carry_i_14_n_0), + .I1(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [0]), + .I2(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [2]), + .I3(p_0_out_inferred__9_carry_i_15_n_0), + .I4(\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] [1]), + .I5(p_0_out_inferred__9_carry_i_16_n_0), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 [0])); + LUT6 #( + .INIT(64'hBB0BBB0B0000BB0B)) + p_0_out_inferred__9_carry_i_5 + (.I0(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I1(st_mr_rid[9]), + .I2(st_mr_rid[21]), + .I3(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .I4(st_mr_rid[33]), + .I5(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .O(p_0_out_inferred__9_carry_i_5_n_0)); + LUT6 #( + .INIT(64'hBB0BBB0B0000BB0B)) + p_0_out_inferred__9_carry_i_6 + (.I0(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .I1(st_mr_rid[35]), + .I2(st_mr_rid[11]), + .I3(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I4(st_mr_rid[23]), + .I5(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .O(p_0_out_inferred__9_carry_i_6_n_0)); + LUT6 #( + .INIT(64'hBB0BBB0B0000BB0B)) + p_0_out_inferred__9_carry_i_7 + (.I0(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I1(st_mr_rid[10]), + .I2(st_mr_rid[22]), + .I3(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .I4(st_mr_rid[34]), + .I5(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .O(p_0_out_inferred__9_carry_i_7_n_0)); + LUT6 #( + .INIT(64'hBB0BBB0B0000BB0B)) + p_0_out_inferred__9_carry_i_8 + (.I0(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .I1(st_mr_rid[30]), + .I2(st_mr_rid[6]), + .I3(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I4(st_mr_rid[18]), + .I5(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .O(p_0_out_inferred__9_carry_i_8_n_0)); + LUT6 #( + .INIT(64'hBB0BBB0B0000BB0B)) + p_0_out_inferred__9_carry_i_9 + (.I0(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .I1(st_mr_rid[20]), + .I2(st_mr_rid[8]), + .I3(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I4(st_mr_rid[32]), + .I5(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .O(p_0_out_inferred__9_carry_i_9_n_0)); + LUT6 #( + .INIT(64'h0000066006600000)) + p_10_out_carry_i_1__0 + (.I0(p_0_out_inferred__9_carry_i_5_n_0), + .I1(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [9]), + .I2(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [11]), + .I3(p_0_out_inferred__9_carry_i_6_n_0), + .I4(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [10]), + .I5(p_0_out_inferred__9_carry_i_7_n_0), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0 [3])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_10_out_carry_i_2__0 + (.I0(p_0_out_inferred__9_carry_i_8_n_0), + .I1(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [6]), + .I2(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [8]), + .I3(p_0_out_inferred__9_carry_i_9_n_0), + .I4(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [7]), + .I5(p_0_out_inferred__9_carry_i_10_n_0), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0 [2])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_10_out_carry_i_3__0 + (.I0(p_0_out_inferred__9_carry_i_11_n_0), + .I1(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [3]), + .I2(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [5]), + .I3(p_0_out_inferred__9_carry_i_12_n_0), + .I4(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [4]), + .I5(p_0_out_inferred__9_carry_i_13_n_0), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0 [1])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_10_out_carry_i_4__0 + (.I0(p_0_out_inferred__9_carry_i_14_n_0), + .I1(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [0]), + .I2(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [2]), + .I3(p_0_out_inferred__9_carry_i_15_n_0), + .I4(\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] [1]), + .I5(p_0_out_inferred__9_carry_i_16_n_0), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0 [0])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_12_out_carry_i_1__0 + (.I0(p_0_out_inferred__9_carry_i_5_n_0), + .I1(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [9]), + .I2(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [11]), + .I3(p_0_out_inferred__9_carry_i_6_n_0), + .I4(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [10]), + .I5(p_0_out_inferred__9_carry_i_7_n_0), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0 [3])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_12_out_carry_i_2__0 + (.I0(p_0_out_inferred__9_carry_i_8_n_0), + .I1(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [6]), + .I2(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [8]), + .I3(p_0_out_inferred__9_carry_i_9_n_0), + .I4(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [7]), + .I5(p_0_out_inferred__9_carry_i_10_n_0), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0 [2])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_12_out_carry_i_3__0 + (.I0(p_0_out_inferred__9_carry_i_11_n_0), + .I1(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [3]), + .I2(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [5]), + .I3(p_0_out_inferred__9_carry_i_12_n_0), + .I4(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [4]), + .I5(p_0_out_inferred__9_carry_i_13_n_0), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0 [1])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_12_out_carry_i_4__0 + (.I0(p_0_out_inferred__9_carry_i_14_n_0), + .I1(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [0]), + .I2(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [2]), + .I3(p_0_out_inferred__9_carry_i_15_n_0), + .I4(\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] [1]), + .I5(p_0_out_inferred__9_carry_i_16_n_0), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0 [0])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_14_out_carry_i_1__0 + (.I0(p_0_out_inferred__9_carry_i_5_n_0), + .I1(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [9]), + .I2(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [11]), + .I3(p_0_out_inferred__9_carry_i_6_n_0), + .I4(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [10]), + .I5(p_0_out_inferred__9_carry_i_7_n_0), + .O(S[3])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_14_out_carry_i_2__0 + (.I0(p_0_out_inferred__9_carry_i_8_n_0), + .I1(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [6]), + .I2(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [8]), + .I3(p_0_out_inferred__9_carry_i_9_n_0), + .I4(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [7]), + .I5(p_0_out_inferred__9_carry_i_10_n_0), + .O(S[2])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_14_out_carry_i_3__0 + (.I0(p_0_out_inferred__9_carry_i_11_n_0), + .I1(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [3]), + .I2(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [5]), + .I3(p_0_out_inferred__9_carry_i_12_n_0), + .I4(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [4]), + .I5(p_0_out_inferred__9_carry_i_13_n_0), + .O(S[1])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_14_out_carry_i_4__0 + (.I0(p_0_out_inferred__9_carry_i_14_n_0), + .I1(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [0]), + .I2(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [2]), + .I3(p_0_out_inferred__9_carry_i_15_n_0), + .I4(\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] [1]), + .I5(p_0_out_inferred__9_carry_i_16_n_0), + .O(S[0])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_2_out_carry_i_1__0 + (.I0(p_0_out_inferred__9_carry_i_5_n_0), + .I1(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [9]), + .I2(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [11]), + .I3(p_0_out_inferred__9_carry_i_6_n_0), + .I4(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [10]), + .I5(p_0_out_inferred__9_carry_i_7_n_0), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0 [3])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_2_out_carry_i_2__0 + (.I0(p_0_out_inferred__9_carry_i_8_n_0), + .I1(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [6]), + .I2(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [8]), + .I3(p_0_out_inferred__9_carry_i_9_n_0), + .I4(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [7]), + .I5(p_0_out_inferred__9_carry_i_10_n_0), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0 [2])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_2_out_carry_i_3__0 + (.I0(p_0_out_inferred__9_carry_i_11_n_0), + .I1(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [3]), + .I2(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [5]), + .I3(p_0_out_inferred__9_carry_i_12_n_0), + .I4(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [4]), + .I5(p_0_out_inferred__9_carry_i_13_n_0), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0 [1])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_2_out_carry_i_4__0 + (.I0(p_0_out_inferred__9_carry_i_14_n_0), + .I1(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [0]), + .I2(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [2]), + .I3(p_0_out_inferred__9_carry_i_15_n_0), + .I4(\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] [1]), + .I5(p_0_out_inferred__9_carry_i_16_n_0), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0 [0])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_4_out_carry_i_1__0 + (.I0(p_0_out_inferred__9_carry_i_5_n_0), + .I1(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [9]), + .I2(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [11]), + .I3(p_0_out_inferred__9_carry_i_6_n_0), + .I4(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [10]), + .I5(p_0_out_inferred__9_carry_i_7_n_0), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0 [3])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_4_out_carry_i_2__0 + (.I0(p_0_out_inferred__9_carry_i_8_n_0), + .I1(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [6]), + .I2(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [8]), + .I3(p_0_out_inferred__9_carry_i_9_n_0), + .I4(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [7]), + .I5(p_0_out_inferred__9_carry_i_10_n_0), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0 [2])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_4_out_carry_i_3__0 + (.I0(p_0_out_inferred__9_carry_i_11_n_0), + .I1(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [3]), + .I2(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [5]), + .I3(p_0_out_inferred__9_carry_i_12_n_0), + .I4(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [4]), + .I5(p_0_out_inferred__9_carry_i_13_n_0), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0 [1])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_4_out_carry_i_4__0 + (.I0(p_0_out_inferred__9_carry_i_14_n_0), + .I1(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [0]), + .I2(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [2]), + .I3(p_0_out_inferred__9_carry_i_15_n_0), + .I4(\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] [1]), + .I5(p_0_out_inferred__9_carry_i_16_n_0), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0 [0])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_6_out_carry_i_1__0 + (.I0(p_0_out_inferred__9_carry_i_5_n_0), + .I1(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [9]), + .I2(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [11]), + .I3(p_0_out_inferred__9_carry_i_6_n_0), + .I4(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [10]), + .I5(p_0_out_inferred__9_carry_i_7_n_0), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0 [3])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_6_out_carry_i_2__0 + (.I0(p_0_out_inferred__9_carry_i_8_n_0), + .I1(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [6]), + .I2(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [8]), + .I3(p_0_out_inferred__9_carry_i_9_n_0), + .I4(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [7]), + .I5(p_0_out_inferred__9_carry_i_10_n_0), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0 [2])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_6_out_carry_i_3__0 + (.I0(p_0_out_inferred__9_carry_i_11_n_0), + .I1(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [3]), + .I2(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [5]), + .I3(p_0_out_inferred__9_carry_i_12_n_0), + .I4(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [4]), + .I5(p_0_out_inferred__9_carry_i_13_n_0), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0 [1])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_6_out_carry_i_4__0 + (.I0(p_0_out_inferred__9_carry_i_14_n_0), + .I1(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [0]), + .I2(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [2]), + .I3(p_0_out_inferred__9_carry_i_15_n_0), + .I4(\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] [1]), + .I5(p_0_out_inferred__9_carry_i_16_n_0), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0 [0])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_8_out_carry_i_1__0 + (.I0(p_0_out_inferred__9_carry_i_5_n_0), + .I1(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [9]), + .I2(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [11]), + .I3(p_0_out_inferred__9_carry_i_6_n_0), + .I4(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [10]), + .I5(p_0_out_inferred__9_carry_i_7_n_0), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0 [3])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_8_out_carry_i_2__0 + (.I0(p_0_out_inferred__9_carry_i_8_n_0), + .I1(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [6]), + .I2(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [8]), + .I3(p_0_out_inferred__9_carry_i_9_n_0), + .I4(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [7]), + .I5(p_0_out_inferred__9_carry_i_10_n_0), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0 [2])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_8_out_carry_i_3__0 + (.I0(p_0_out_inferred__9_carry_i_11_n_0), + .I1(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [3]), + .I2(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [5]), + .I3(p_0_out_inferred__9_carry_i_12_n_0), + .I4(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [4]), + .I5(p_0_out_inferred__9_carry_i_13_n_0), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0 [1])); + LUT6 #( + .INIT(64'h0000066006600000)) + p_8_out_carry_i_4__0 + (.I0(p_0_out_inferred__9_carry_i_14_n_0), + .I1(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [0]), + .I2(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [2]), + .I3(p_0_out_inferred__9_carry_i_15_n_0), + .I4(\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] [1]), + .I5(p_0_out_inferred__9_carry_i_16_n_0), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0 [0])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[10]_INST_0 + (.I0(st_mr_rmesg[7]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[28]), + .O(s_axi_rdata[6])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[11]_INST_0 + (.I0(st_mr_rmesg[8]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[29]), + .O(s_axi_rdata[7])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[13]_INST_0 + (.I0(st_mr_rmesg[9]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[30]), + .O(s_axi_rdata[8])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[17]_INST_0 + (.I0(st_mr_rmesg[10]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[31]), + .O(s_axi_rdata[9])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[19]_INST_0 + (.I0(st_mr_rmesg[11]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[32]), + .O(s_axi_rdata[10])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[1]_INST_0 + (.I0(st_mr_rmesg[1]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[22]), + .O(s_axi_rdata[0])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[20]_INST_0 + (.I0(st_mr_rmesg[12]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[33]), + .O(s_axi_rdata[11])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[21]_INST_0 + (.I0(st_mr_rmesg[13]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[34]), + .O(s_axi_rdata[12])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[22]_INST_0 + (.I0(st_mr_rmesg[14]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[35]), + .O(s_axi_rdata[13])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[23]_INST_0 + (.I0(st_mr_rmesg[15]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[36]), + .O(s_axi_rdata[14])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[25]_INST_0 + (.I0(st_mr_rmesg[16]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[37]), + .O(s_axi_rdata[15])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[26]_INST_0 + (.I0(st_mr_rmesg[17]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[38]), + .O(s_axi_rdata[16])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[27]_INST_0 + (.I0(st_mr_rmesg[18]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[39]), + .O(s_axi_rdata[17])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[28]_INST_0 + (.I0(st_mr_rmesg[19]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[40]), + .O(s_axi_rdata[18])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[29]_INST_0 + (.I0(st_mr_rmesg[20]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[41]), + .O(s_axi_rdata[19])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[4]_INST_0 + (.I0(st_mr_rmesg[2]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[23]), + .O(s_axi_rdata[1])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[5]_INST_0 + (.I0(st_mr_rmesg[3]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[24]), + .O(s_axi_rdata[2])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[6]_INST_0 + (.I0(st_mr_rmesg[4]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[25]), + .O(s_axi_rdata[3])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[8]_INST_0 + (.I0(st_mr_rmesg[5]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[26]), + .O(s_axi_rdata[4])); + LUT6 #( + .INIT(64'h3F2A2A2A002A2A2A)) + \s_axi_rdata[9]_INST_0 + (.I0(st_mr_rmesg[6]), + .I1(p_32_out), + .I2(\chosen_reg[2]_0 ), + .I3(p_54_out), + .I4(\chosen_reg[1]_0 ), + .I5(st_mr_rmesg[27]), + .O(s_axi_rdata[5])); + LUT6 #( + .INIT(64'h4F444F44FFFF4F44)) + \s_axi_rid[0]_INST_0 + (.I0(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .I1(st_mr_rid[24]), + .I2(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I3(st_mr_rid[0]), + .I4(st_mr_rid[12]), + .I5(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .O(s_axi_rid[0])); + LUT6 #( + .INIT(64'h4F444F44FFFF4F44)) + \s_axi_rid[10]_INST_0 + (.I0(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .I1(st_mr_rid[34]), + .I2(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .I3(st_mr_rid[22]), + .I4(st_mr_rid[10]), + .I5(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .O(s_axi_rid[10])); + LUT6 #( + .INIT(64'h4F444F44FFFF4F44)) + \s_axi_rid[11]_INST_0 + (.I0(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .I1(st_mr_rid[23]), + .I2(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I3(st_mr_rid[11]), + .I4(st_mr_rid[35]), + .I5(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .O(s_axi_rid[11])); + LUT4 #( + .INIT(16'h8FFF)) + \s_axi_rid[11]_INST_0_i_1 + (.I0(p_32_out), + .I1(\chosen_reg[2]_0 ), + .I2(p_54_out), + .I3(\chosen_reg[1]_0 ), + .O(\s_axi_rid[11]_INST_0_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair61" *) + LUT4 #( + .INIT(16'hF888)) + \s_axi_rid[11]_INST_0_i_2 + (.I0(p_54_out), + .I1(\chosen_reg[1]_0 ), + .I2(p_32_out), + .I3(\chosen_reg[2]_0 ), + .O(\s_axi_rid[11]_INST_0_i_2_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair61" *) + LUT4 #( + .INIT(16'hF777)) + \s_axi_rid[11]_INST_0_i_3 + (.I0(p_32_out), + .I1(\chosen_reg[2]_0 ), + .I2(p_54_out), + .I3(\chosen_reg[1]_0 ), + .O(\s_axi_rid[11]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'h4F444F44FFFF4F44)) + \s_axi_rid[1]_INST_0 + (.I0(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I1(st_mr_rid[1]), + .I2(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .I3(st_mr_rid[25]), + .I4(st_mr_rid[13]), + .I5(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .O(s_axi_rid[1])); + LUT6 #( + .INIT(64'h4F444F44FFFF4F44)) + \s_axi_rid[2]_INST_0 + (.I0(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .I1(st_mr_rid[14]), + .I2(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I3(st_mr_rid[2]), + .I4(st_mr_rid[26]), + .I5(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .O(s_axi_rid[2])); + LUT6 #( + .INIT(64'h4F444F44FFFF4F44)) + \s_axi_rid[3]_INST_0 + (.I0(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I1(st_mr_rid[3]), + .I2(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .I3(st_mr_rid[27]), + .I4(st_mr_rid[15]), + .I5(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .O(s_axi_rid[3])); + LUT6 #( + .INIT(64'h4F444F44FFFF4F44)) + \s_axi_rid[4]_INST_0 + (.I0(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .I1(st_mr_rid[28]), + .I2(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I3(st_mr_rid[4]), + .I4(st_mr_rid[16]), + .I5(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .O(s_axi_rid[4])); + LUT6 #( + .INIT(64'h4F444F44FFFF4F44)) + \s_axi_rid[5]_INST_0 + (.I0(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I1(st_mr_rid[5]), + .I2(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .I3(st_mr_rid[29]), + .I4(st_mr_rid[17]), + .I5(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .O(s_axi_rid[5])); + LUT6 #( + .INIT(64'h4F444F44FFFF4F44)) + \s_axi_rid[6]_INST_0 + (.I0(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .I1(st_mr_rid[18]), + .I2(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I3(st_mr_rid[6]), + .I4(st_mr_rid[30]), + .I5(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .O(s_axi_rid[6])); + LUT6 #( + .INIT(64'h4F444F44FFFF4F44)) + \s_axi_rid[7]_INST_0 + (.I0(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .I1(st_mr_rid[19]), + .I2(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I3(st_mr_rid[7]), + .I4(st_mr_rid[31]), + .I5(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .O(s_axi_rid[7])); + LUT6 #( + .INIT(64'h4F444F44FFFF4F44)) + \s_axi_rid[8]_INST_0 + (.I0(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .I1(st_mr_rid[32]), + .I2(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I3(st_mr_rid[8]), + .I4(st_mr_rid[20]), + .I5(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .O(s_axi_rid[8])); + LUT6 #( + .INIT(64'h4F444F44FFFF4F44)) + \s_axi_rid[9]_INST_0 + (.I0(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .I1(st_mr_rid[33]), + .I2(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .I3(st_mr_rid[21]), + .I4(st_mr_rid[9]), + .I5(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .O(s_axi_rid[9])); + LUT6 #( + .INIT(64'h44F444F4FFFF44F4)) + \s_axi_rlast[0]_INST_0 + (.I0(\s_axi_rid[11]_INST_0_i_3_n_0 ), + .I1(\m_payload_i_reg[34] ), + .I2(\m_payload_i_reg[34]_0 ), + .I3(\s_axi_rid[11]_INST_0_i_2_n_0 ), + .I4(\m_payload_i_reg[34]_1 ), + .I5(\s_axi_rid[11]_INST_0_i_1_n_0 ), + .O(s_axi_rlast)); + LUT6 #( + .INIT(64'h3FEAEAEA00EAEAEA)) + \s_axi_rresp[1]_INST_0 + (.I0(st_mr_rmesg[0]), + .I1(\chosen_reg[2]_0 ), + .I2(p_32_out), + .I3(\chosen_reg[1]_0 ), + .I4(p_54_out), + .I5(st_mr_rmesg[21]), + .O(s_axi_rresp)); + LUT6 #( + .INIT(64'hFFFFF888F888F888)) + \s_axi_rvalid[0]_INST_0 + (.I0(\chosen_reg[2]_0 ), + .I1(p_32_out), + .I2(\chosen_reg[1]_0 ), + .I3(p_54_out), + .I4(p_74_out), + .I5(\chosen_reg[0]_0 ), + .O(s_axi_rvalid)); +endmodule + +(* C_AXI_ADDR_WIDTH = "32" *) (* C_AXI_ARUSER_WIDTH = "1" *) (* C_AXI_AWUSER_WIDTH = "1" *) +(* C_AXI_BUSER_WIDTH = "1" *) (* C_AXI_DATA_WIDTH = "32" *) (* C_AXI_ID_WIDTH = "12" *) +(* C_AXI_PROTOCOL = "0" *) (* C_AXI_RUSER_WIDTH = "1" *) (* C_AXI_SUPPORTS_USER_SIGNALS = "0" *) +(* C_AXI_WUSER_WIDTH = "1" *) (* C_CONNECTIVITY_MODE = "1" *) (* C_DEBUG = "1" *) +(* C_FAMILY = "zynq" *) (* C_M_AXI_ADDR_WIDTH = "64'b0000000000000000000000000000000000000000000000000000000000010000" *) (* C_M_AXI_BASE_ADDR = "128'b11111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000010000000000000000000000000000000" *) +(* C_M_AXI_READ_CONNECTIVITY = "64'b1111111111111111111111111111111111111111111111111111111111111111" *) (* C_M_AXI_READ_ISSUING = "64'b0000000000000000000000000000100000000000000000000000000000000010" *) (* C_M_AXI_SECURE = "64'b0000000000000000000000000000000000000000000000000000000000000000" *) +(* C_M_AXI_WRITE_CONNECTIVITY = "64'b1111111111111111111111111111111111111111111111111111111111111111" *) (* C_M_AXI_WRITE_ISSUING = "64'b0000000000000000000000000000100000000000000000000000000000000010" *) (* C_NUM_ADDR_RANGES = "1" *) +(* C_NUM_MASTER_SLOTS = "2" *) (* C_NUM_SLAVE_SLOTS = "1" *) (* C_R_REGISTER = "0" *) +(* C_S_AXI_ARB_PRIORITY = "0" *) (* C_S_AXI_BASE_ID = "0" *) (* C_S_AXI_READ_ACCEPTANCE = "8" *) +(* C_S_AXI_SINGLE_THREAD = "0" *) (* C_S_AXI_THREAD_ID_WIDTH = "12" *) (* C_S_AXI_WRITE_ACCEPTANCE = "8" *) +(* DowngradeIPIdentifiedWarnings = "yes" *) (* ORIG_REF_NAME = "axi_crossbar_v2_1_10_axi_crossbar" *) (* P_ADDR_DECODE = "1" *) +(* P_AXI3 = "1" *) (* P_AXI4 = "0" *) (* P_AXILITE = "2" *) +(* P_AXILITE_SIZE = "3'b010" *) (* P_FAMILY = "zynq" *) (* P_INCR = "2'b01" *) +(* P_LEN = "8" *) (* P_LOCK = "1" *) (* P_M_AXI_ERR_MODE = "64'b0000000000000000000000000000000000000000000000000000000000000000" *) +(* P_M_AXI_SUPPORTS_READ = "2'b11" *) (* P_M_AXI_SUPPORTS_WRITE = "2'b11" *) (* P_ONES = "65'b11111111111111111111111111111111111111111111111111111111111111111" *) +(* P_RANGE_CHECK = "1" *) (* P_S_AXI_BASE_ID = "64'b0000000000000000000000000000000000000000000000000000000000000000" *) (* P_S_AXI_HIGH_ID = "64'b0000000000000000000000000000000000000000000000000000111111111111" *) +(* P_S_AXI_SUPPORTS_READ = "1'b1" *) (* P_S_AXI_SUPPORTS_WRITE = "1'b1" *) +module system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar + (aclk, + aresetn, + s_axi_awid, + s_axi_awaddr, + s_axi_awlen, + s_axi_awsize, + s_axi_awburst, + s_axi_awlock, + s_axi_awcache, + s_axi_awprot, + s_axi_awqos, + s_axi_awuser, + s_axi_awvalid, + s_axi_awready, + s_axi_wid, + s_axi_wdata, + s_axi_wstrb, + s_axi_wlast, + s_axi_wuser, + s_axi_wvalid, + s_axi_wready, + s_axi_bid, + s_axi_bresp, + s_axi_buser, + s_axi_bvalid, + s_axi_bready, + s_axi_arid, + s_axi_araddr, + s_axi_arlen, + s_axi_arsize, + s_axi_arburst, + s_axi_arlock, + s_axi_arcache, + s_axi_arprot, + s_axi_arqos, + s_axi_aruser, + s_axi_arvalid, + s_axi_arready, + s_axi_rid, + s_axi_rdata, + s_axi_rresp, + s_axi_rlast, + s_axi_ruser, + s_axi_rvalid, + s_axi_rready, + m_axi_awid, + m_axi_awaddr, + m_axi_awlen, + m_axi_awsize, + m_axi_awburst, + m_axi_awlock, + m_axi_awcache, + m_axi_awprot, + m_axi_awregion, + m_axi_awqos, + m_axi_awuser, + m_axi_awvalid, + m_axi_awready, + m_axi_wid, + m_axi_wdata, + m_axi_wstrb, + m_axi_wlast, + m_axi_wuser, + m_axi_wvalid, + m_axi_wready, + m_axi_bid, + m_axi_bresp, + m_axi_buser, + m_axi_bvalid, + m_axi_bready, + m_axi_arid, + m_axi_araddr, + m_axi_arlen, + m_axi_arsize, + m_axi_arburst, + m_axi_arlock, + m_axi_arcache, + m_axi_arprot, + m_axi_arregion, + m_axi_arqos, + m_axi_aruser, + m_axi_arvalid, + m_axi_arready, + m_axi_rid, + m_axi_rdata, + m_axi_rresp, + m_axi_rlast, + m_axi_ruser, + m_axi_rvalid, + m_axi_rready); + input aclk; + input aresetn; + input [11:0]s_axi_awid; + input [31:0]s_axi_awaddr; + input [7:0]s_axi_awlen; + input [2:0]s_axi_awsize; + input [1:0]s_axi_awburst; + input [0:0]s_axi_awlock; + input [3:0]s_axi_awcache; + input [2:0]s_axi_awprot; + input [3:0]s_axi_awqos; + input [0:0]s_axi_awuser; + input [0:0]s_axi_awvalid; + output [0:0]s_axi_awready; + input [11:0]s_axi_wid; + input [31:0]s_axi_wdata; + input [3:0]s_axi_wstrb; + input [0:0]s_axi_wlast; + input [0:0]s_axi_wuser; + input [0:0]s_axi_wvalid; + output [0:0]s_axi_wready; + output [11:0]s_axi_bid; + output [1:0]s_axi_bresp; + output [0:0]s_axi_buser; + output [0:0]s_axi_bvalid; + input [0:0]s_axi_bready; + input [11:0]s_axi_arid; + input [31:0]s_axi_araddr; + input [7:0]s_axi_arlen; + input [2:0]s_axi_arsize; + input [1:0]s_axi_arburst; + input [0:0]s_axi_arlock; + input [3:0]s_axi_arcache; + input [2:0]s_axi_arprot; + input [3:0]s_axi_arqos; + input [0:0]s_axi_aruser; + input [0:0]s_axi_arvalid; + output [0:0]s_axi_arready; + output [11:0]s_axi_rid; + output [31:0]s_axi_rdata; + output [1:0]s_axi_rresp; + output [0:0]s_axi_rlast; + output [0:0]s_axi_ruser; + output [0:0]s_axi_rvalid; + input [0:0]s_axi_rready; + output [23:0]m_axi_awid; + output [63:0]m_axi_awaddr; + output [15:0]m_axi_awlen; + output [5:0]m_axi_awsize; + output [3:0]m_axi_awburst; + output [1:0]m_axi_awlock; + output [7:0]m_axi_awcache; + output [5:0]m_axi_awprot; + output [7:0]m_axi_awregion; + output [7:0]m_axi_awqos; + output [1:0]m_axi_awuser; + output [1:0]m_axi_awvalid; + input [1:0]m_axi_awready; + output [23:0]m_axi_wid; + output [63:0]m_axi_wdata; + output [7:0]m_axi_wstrb; + output [1:0]m_axi_wlast; + output [1:0]m_axi_wuser; + output [1:0]m_axi_wvalid; + input [1:0]m_axi_wready; + input [23:0]m_axi_bid; + input [3:0]m_axi_bresp; + input [1:0]m_axi_buser; + input [1:0]m_axi_bvalid; + output [1:0]m_axi_bready; + output [23:0]m_axi_arid; + output [63:0]m_axi_araddr; + output [15:0]m_axi_arlen; + output [5:0]m_axi_arsize; + output [3:0]m_axi_arburst; + output [1:0]m_axi_arlock; + output [7:0]m_axi_arcache; + output [5:0]m_axi_arprot; + output [7:0]m_axi_arregion; + output [7:0]m_axi_arqos; + output [1:0]m_axi_aruser; + output [1:0]m_axi_arvalid; + input [1:0]m_axi_arready; + input [23:0]m_axi_rid; + input [63:0]m_axi_rdata; + input [3:0]m_axi_rresp; + input [1:0]m_axi_rlast; + input [1:0]m_axi_ruser; + input [1:0]m_axi_rvalid; + output [1:0]m_axi_rready; + + wire \<const0> ; + wire aclk; + wire aresetn; + wire [63:32]\^m_axi_araddr ; + wire [3:2]\^m_axi_arburst ; + wire [7:4]\^m_axi_arcache ; + wire [11:0]\^m_axi_arid ; + wire [7:0]\^m_axi_arlen ; + wire [1:1]\^m_axi_arlock ; + wire [5:3]\^m_axi_arprot ; + wire [7:4]\^m_axi_arqos ; + wire [1:0]m_axi_arready; + wire [5:3]\^m_axi_arsize ; + wire [0:0]\^m_axi_arvalid ; + wire [63:32]\^m_axi_awaddr ; + wire [3:2]\^m_axi_awburst ; + wire [7:4]\^m_axi_awcache ; + wire [11:0]\^m_axi_awid ; + wire [15:8]\^m_axi_awlen ; + wire [1:1]\^m_axi_awlock ; + wire [5:3]\^m_axi_awprot ; + wire [7:4]\^m_axi_awqos ; + wire [1:0]m_axi_awready; + wire [5:3]\^m_axi_awsize ; + wire [0:0]\^m_axi_awvalid ; + wire [23:0]m_axi_bid; + wire [1:0]m_axi_bready; + wire [3:0]m_axi_bresp; + wire [1:0]m_axi_bvalid; + wire [63:0]m_axi_rdata; + wire [23:0]m_axi_rid; + wire [1:0]m_axi_rlast; + wire [1:0]m_axi_rready; + wire [3:0]m_axi_rresp; + wire [1:0]m_axi_rvalid; + wire [1:0]m_axi_wready; + wire [1:0]m_axi_wvalid; + wire [31:0]s_axi_araddr; + wire [1:0]s_axi_arburst; + wire [3:0]s_axi_arcache; + wire [11:0]s_axi_arid; + wire [7:0]s_axi_arlen; + wire [0:0]s_axi_arlock; + wire [2:0]s_axi_arprot; + wire [3:0]s_axi_arqos; + wire [0:0]s_axi_arready; + wire [2:0]s_axi_arsize; + wire [0:0]s_axi_arvalid; + wire [31:0]s_axi_awaddr; + wire [1:0]s_axi_awburst; + wire [3:0]s_axi_awcache; + wire [11:0]s_axi_awid; + wire [7:0]s_axi_awlen; + wire [0:0]s_axi_awlock; + wire [2:0]s_axi_awprot; + wire [3:0]s_axi_awqos; + wire [0:0]s_axi_awready; + wire [2:0]s_axi_awsize; + wire [0:0]s_axi_awvalid; + wire [11:0]s_axi_bid; + wire [0:0]s_axi_bready; + wire [1:0]s_axi_bresp; + wire [0:0]s_axi_bvalid; + wire [31:0]s_axi_rdata; + wire [11:0]s_axi_rid; + wire [0:0]s_axi_rlast; + wire [0:0]s_axi_rready; + wire [1:0]s_axi_rresp; + wire [0:0]s_axi_rvalid; + wire [31:0]s_axi_wdata; + wire [0:0]s_axi_wlast; + wire [0:0]s_axi_wready; + wire [3:0]s_axi_wstrb; + wire [0:0]s_axi_wvalid; + + assign m_axi_araddr[63:32] = \^m_axi_araddr [63:32]; + assign m_axi_araddr[31:0] = \^m_axi_araddr [63:32]; + assign m_axi_arburst[3:2] = \^m_axi_arburst [3:2]; + assign m_axi_arburst[1:0] = \^m_axi_arburst [3:2]; + assign m_axi_arcache[7:4] = \^m_axi_arcache [7:4]; + assign m_axi_arcache[3:0] = \^m_axi_arcache [7:4]; + assign m_axi_arid[23:12] = \^m_axi_arid [11:0]; + assign m_axi_arid[11:0] = \^m_axi_arid [11:0]; + assign m_axi_arlen[15:8] = \^m_axi_arlen [7:0]; + assign m_axi_arlen[7:0] = \^m_axi_arlen [7:0]; + assign m_axi_arlock[1] = \^m_axi_arlock [1]; + assign m_axi_arlock[0] = \^m_axi_arlock [1]; + assign m_axi_arprot[5:3] = \^m_axi_arprot [5:3]; + assign m_axi_arprot[2:0] = \^m_axi_arprot [5:3]; + assign m_axi_arqos[7:4] = \^m_axi_arqos [7:4]; + assign m_axi_arqos[3:0] = \^m_axi_arqos [7:4]; + assign m_axi_arregion[7] = \<const0> ; + assign m_axi_arregion[6] = \<const0> ; + assign m_axi_arregion[5] = \<const0> ; + assign m_axi_arregion[4] = \<const0> ; + assign m_axi_arregion[3] = \<const0> ; + assign m_axi_arregion[2] = \<const0> ; + assign m_axi_arregion[1] = \<const0> ; + assign m_axi_arregion[0] = \<const0> ; + assign m_axi_arsize[5:3] = \^m_axi_arsize [5:3]; + assign m_axi_arsize[2:0] = \^m_axi_arsize [5:3]; + assign m_axi_aruser[1] = \<const0> ; + assign m_axi_aruser[0] = \<const0> ; + assign m_axi_arvalid[1] = \<const0> ; + assign m_axi_arvalid[0] = \^m_axi_arvalid [0]; + assign m_axi_awaddr[63:32] = \^m_axi_awaddr [63:32]; + assign m_axi_awaddr[31:0] = \^m_axi_awaddr [63:32]; + assign m_axi_awburst[3:2] = \^m_axi_awburst [3:2]; + assign m_axi_awburst[1:0] = \^m_axi_awburst [3:2]; + assign m_axi_awcache[7:4] = \^m_axi_awcache [7:4]; + assign m_axi_awcache[3:0] = \^m_axi_awcache [7:4]; + assign m_axi_awid[23:12] = \^m_axi_awid [11:0]; + assign m_axi_awid[11:0] = \^m_axi_awid [11:0]; + assign m_axi_awlen[15:8] = \^m_axi_awlen [15:8]; + assign m_axi_awlen[7:0] = \^m_axi_awlen [15:8]; + assign m_axi_awlock[1] = \^m_axi_awlock [1]; + assign m_axi_awlock[0] = \^m_axi_awlock [1]; + assign m_axi_awprot[5:3] = \^m_axi_awprot [5:3]; + assign m_axi_awprot[2:0] = \^m_axi_awprot [5:3]; + assign m_axi_awqos[7:4] = \^m_axi_awqos [7:4]; + assign m_axi_awqos[3:0] = \^m_axi_awqos [7:4]; + assign m_axi_awregion[7] = \<const0> ; + assign m_axi_awregion[6] = \<const0> ; + assign m_axi_awregion[5] = \<const0> ; + assign m_axi_awregion[4] = \<const0> ; + assign m_axi_awregion[3] = \<const0> ; + assign m_axi_awregion[2] = \<const0> ; + assign m_axi_awregion[1] = \<const0> ; + assign m_axi_awregion[0] = \<const0> ; + assign m_axi_awsize[5:3] = \^m_axi_awsize [5:3]; + assign m_axi_awsize[2:0] = \^m_axi_awsize [5:3]; + assign m_axi_awuser[1] = \<const0> ; + assign m_axi_awuser[0] = \<const0> ; + assign m_axi_awvalid[1] = \<const0> ; + assign m_axi_awvalid[0] = \^m_axi_awvalid [0]; + assign m_axi_wdata[63:32] = s_axi_wdata; + assign m_axi_wdata[31:0] = s_axi_wdata; + assign m_axi_wid[23] = \<const0> ; + assign m_axi_wid[22] = \<const0> ; + assign m_axi_wid[21] = \<const0> ; + assign m_axi_wid[20] = \<const0> ; + assign m_axi_wid[19] = \<const0> ; + assign m_axi_wid[18] = \<const0> ; + assign m_axi_wid[17] = \<const0> ; + assign m_axi_wid[16] = \<const0> ; + assign m_axi_wid[15] = \<const0> ; + assign m_axi_wid[14] = \<const0> ; + assign m_axi_wid[13] = \<const0> ; + assign m_axi_wid[12] = \<const0> ; + assign m_axi_wid[11] = \<const0> ; + assign m_axi_wid[10] = \<const0> ; + assign m_axi_wid[9] = \<const0> ; + assign m_axi_wid[8] = \<const0> ; + assign m_axi_wid[7] = \<const0> ; + assign m_axi_wid[6] = \<const0> ; + assign m_axi_wid[5] = \<const0> ; + assign m_axi_wid[4] = \<const0> ; + assign m_axi_wid[3] = \<const0> ; + assign m_axi_wid[2] = \<const0> ; + assign m_axi_wid[1] = \<const0> ; + assign m_axi_wid[0] = \<const0> ; + assign m_axi_wlast[1] = s_axi_wlast; + assign m_axi_wlast[0] = s_axi_wlast; + assign m_axi_wstrb[7:4] = s_axi_wstrb; + assign m_axi_wstrb[3:0] = s_axi_wstrb; + assign m_axi_wuser[1] = \<const0> ; + assign m_axi_wuser[0] = \<const0> ; + assign s_axi_buser[0] = \<const0> ; + assign s_axi_ruser[0] = \<const0> ; + GND GND + (.G(\<const0> )); + system_design_xbar_1_axi_crossbar_v2_1_10_crossbar \gen_samd.crossbar_samd + (.D({s_axi_awqos,s_axi_awcache,s_axi_awburst,s_axi_awprot,s_axi_awlock,s_axi_awsize,s_axi_awlen,s_axi_awaddr}), + .M_AXI_RREADY(m_axi_rready), + .Q({\^m_axi_awqos ,\^m_axi_awcache ,\^m_axi_awburst ,\^m_axi_awprot ,\^m_axi_awlock ,\^m_axi_awsize ,\^m_axi_awlen ,\^m_axi_awaddr ,\^m_axi_awid }), + .S_AXI_ARREADY(s_axi_arready), + .aclk(aclk), + .aresetn(aresetn), + .\m_axi_arqos[7] ({\^m_axi_arqos ,\^m_axi_arcache ,\^m_axi_arburst ,\^m_axi_arprot ,\^m_axi_arlock ,\^m_axi_arsize ,\^m_axi_arlen ,\^m_axi_araddr ,\^m_axi_arid }), + .m_axi_arready(m_axi_arready[0]), + .m_axi_arvalid(\^m_axi_arvalid ), + .m_axi_awready(m_axi_awready[0]), + .m_axi_awvalid(\^m_axi_awvalid ), + .m_axi_bid(m_axi_bid), + .m_axi_bready(m_axi_bready), + .m_axi_bresp(m_axi_bresp), + .m_axi_bvalid(m_axi_bvalid), + .m_axi_rdata(m_axi_rdata), + .m_axi_rid(m_axi_rid), + .m_axi_rlast(m_axi_rlast), + .m_axi_rresp(m_axi_rresp), + .m_axi_rvalid(m_axi_rvalid), + .m_axi_wready(m_axi_wready), + .m_axi_wvalid(m_axi_wvalid), + .s_axi_arid(s_axi_arid), + .\s_axi_arqos[3] ({s_axi_arqos,s_axi_arcache,s_axi_arburst,s_axi_arprot,s_axi_arlock,s_axi_arsize,s_axi_arlen,s_axi_araddr}), + .s_axi_arvalid(s_axi_arvalid), + .s_axi_awid(s_axi_awid), + .\s_axi_awready[0] (s_axi_awready), + .s_axi_awvalid(s_axi_awvalid), + .s_axi_bid(s_axi_bid), + .s_axi_bready(s_axi_bready), + .s_axi_bresp(s_axi_bresp), + .s_axi_bvalid(s_axi_bvalid), + .s_axi_rdata(s_axi_rdata), + .s_axi_rid(s_axi_rid), + .s_axi_rlast(s_axi_rlast), + .s_axi_rready(s_axi_rready), + .s_axi_rresp(s_axi_rresp), + .s_axi_rvalid(s_axi_rvalid), + .s_axi_wlast(s_axi_wlast), + .s_axi_wready(s_axi_wready), + .s_axi_wvalid(s_axi_wvalid)); +endmodule + +(* ORIG_REF_NAME = "axi_crossbar_v2_1_10_crossbar" *) +module system_design_xbar_1_axi_crossbar_v2_1_10_crossbar + (Q, + \m_axi_arqos[7] , + m_axi_bready, + M_AXI_RREADY, + S_AXI_ARREADY, + m_axi_awvalid, + \s_axi_awready[0] , + s_axi_bvalid, + s_axi_bresp, + s_axi_bid, + m_axi_arvalid, + s_axi_rlast, + s_axi_rvalid, + s_axi_rresp, + s_axi_rid, + s_axi_rdata, + m_axi_wvalid, + s_axi_wready, + m_axi_bvalid, + s_axi_bready, + aclk, + s_axi_arid, + s_axi_awid, + s_axi_awvalid, + m_axi_bid, + m_axi_bresp, + m_axi_rid, + m_axi_rlast, + m_axi_rresp, + m_axi_rdata, + m_axi_arready, + aresetn, + D, + \s_axi_arqos[3] , + m_axi_awready, + s_axi_arvalid, + m_axi_rvalid, + s_axi_rready, + s_axi_wvalid, + s_axi_wlast, + m_axi_wready); + output [68:0]Q; + output [68:0]\m_axi_arqos[7] ; + output [1:0]m_axi_bready; + output [1:0]M_AXI_RREADY; + output [0:0]S_AXI_ARREADY; + output [0:0]m_axi_awvalid; + output \s_axi_awready[0] ; + output [0:0]s_axi_bvalid; + output [1:0]s_axi_bresp; + output [11:0]s_axi_bid; + output [0:0]m_axi_arvalid; + output [0:0]s_axi_rlast; + output [0:0]s_axi_rvalid; + output [1:0]s_axi_rresp; + output [11:0]s_axi_rid; + output [31:0]s_axi_rdata; + output [1:0]m_axi_wvalid; + output [0:0]s_axi_wready; + input [1:0]m_axi_bvalid; + input [0:0]s_axi_bready; + input aclk; + input [11:0]s_axi_arid; + input [11:0]s_axi_awid; + input [0:0]s_axi_awvalid; + input [23:0]m_axi_bid; + input [3:0]m_axi_bresp; + input [23:0]m_axi_rid; + input [1:0]m_axi_rlast; + input [3:0]m_axi_rresp; + input [63:0]m_axi_rdata; + input [0:0]m_axi_arready; + input aresetn; + input [56:0]D; + input [56:0]\s_axi_arqos[3] ; + input [0:0]m_axi_awready; + input [0:0]s_axi_arvalid; + input [1:0]m_axi_rvalid; + input [0:0]s_axi_rready; + input [0:0]s_axi_wvalid; + input [0:0]s_axi_wlast; + input [1:0]m_axi_wready; + + wire [56:0]D; + wire [1:0]M_AXI_RREADY; + wire [68:0]Q; + wire [0:0]S_AXI_ARREADY; + wire [2:2]aa_mi_artarget_hot; + wire aa_mi_arvalid; + wire [2:0]aa_mi_awtarget_hot; + wire aa_sa_awvalid; + wire aclk; + wire addr_arbiter_ar_n_2; + wire addr_arbiter_ar_n_4; + wire addr_arbiter_ar_n_6; + wire addr_arbiter_ar_n_9; + wire addr_arbiter_aw_n_10; + wire addr_arbiter_aw_n_12; + wire addr_arbiter_aw_n_2; + wire addr_arbiter_aw_n_3; + wire addr_arbiter_aw_n_7; + wire addr_arbiter_aw_n_8; + wire addr_arbiter_aw_n_9; + wire aresetn; + wire aresetn_d; + wire \gen_master_slots[0].reg_slice_mi_n_4 ; + wire \gen_master_slots[0].reg_slice_mi_n_5 ; + wire \gen_master_slots[0].reg_slice_mi_n_54 ; + wire \gen_master_slots[0].reg_slice_mi_n_6 ; + wire \gen_master_slots[1].reg_slice_mi_n_54 ; + wire \gen_master_slots[2].reg_slice_mi_n_1 ; + wire \gen_master_slots[2].reg_slice_mi_n_12 ; + wire \gen_master_slots[2].reg_slice_mi_n_19 ; + wire \gen_master_slots[2].reg_slice_mi_n_20 ; + wire \gen_master_slots[2].reg_slice_mi_n_21 ; + wire \gen_master_slots[2].reg_slice_mi_n_22 ; + wire \gen_master_slots[2].reg_slice_mi_n_23 ; + wire \gen_master_slots[2].reg_slice_mi_n_24 ; + wire \gen_master_slots[2].reg_slice_mi_n_38 ; + wire \gen_master_slots[2].reg_slice_mi_n_5 ; + wire [2:0]\gen_multi_thread.arbiter_resp_inst/chosen ; + wire [2:0]\gen_multi_thread.arbiter_resp_inst/chosen_1 ; + wire \gen_slave_slots[0].gen_si_read.si_transactor_ar_n_0 ; + wire \gen_slave_slots[0].gen_si_read.si_transactor_ar_n_2 ; + wire \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_1 ; + wire \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17 ; + wire \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_2 ; + wire \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_3 ; + wire \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_4 ; + wire \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_5 ; + wire \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_6 ; + wire \gen_slave_slots[0].gen_si_write.wdata_router_w_n_3 ; + wire [68:0]\m_axi_arqos[7] ; + wire [0:0]m_axi_arready; + wire [0:0]m_axi_arvalid; + wire [0:0]m_axi_awready; + wire [0:0]m_axi_awvalid; + wire [23:0]m_axi_bid; + wire [1:0]m_axi_bready; + wire [3:0]m_axi_bresp; + wire [1:0]m_axi_bvalid; + wire [63:0]m_axi_rdata; + wire [23:0]m_axi_rid; + wire [1:0]m_axi_rlast; + wire [3:0]m_axi_rresp; + wire [1:0]m_axi_rvalid; + wire [1:0]m_axi_wready; + wire [1:0]m_axi_wvalid; + wire [1:0]m_ready_d; + wire [1:1]m_ready_d_2; + wire m_valid_i; + wire match; + wire match_0; + wire mi_arready_2; + wire mi_awready_2; + wire mi_bready_2; + wire mi_rready_2; + wire p_11_in; + wire p_15_in; + wire p_17_in; + wire p_1_in; + wire [11:0]p_20_in; + wire p_21_in; + wire [11:0]p_24_in; + wire p_32_out; + wire p_34_out; + wire p_38_out; + wire p_54_out; + wire p_56_out; + wire p_60_out; + wire p_74_out; + wire p_76_out; + wire p_80_out; + wire [1:0]r_issuing_cnt; + wire reset; + wire [11:0]s_axi_arid; + wire [56:0]\s_axi_arqos[3] ; + wire [0:0]s_axi_arvalid; + wire [11:0]s_axi_awid; + wire \s_axi_awready[0] ; + wire [0:0]s_axi_awvalid; + wire [11:0]s_axi_bid; + wire [0:0]s_axi_bready; + wire [1:0]s_axi_bresp; + wire [0:0]s_axi_bvalid; + wire [31:0]s_axi_rdata; + wire [11:0]s_axi_rid; + wire [0:0]s_axi_rlast; + wire [0:0]s_axi_rready; + wire [1:0]s_axi_rresp; + wire [0:0]s_axi_rvalid; + wire s_axi_rvalid_i; + wire [0:0]s_axi_wlast; + wire [0:0]s_axi_wready; + wire [0:0]s_axi_wvalid; + wire splitter_aw_mi_n_0; + wire ss_aa_awready; + wire ss_wr_awready; + wire ss_wr_awvalid; + wire [35:0]st_mr_bid; + wire [1:0]st_mr_bmesg; + wire [35:0]st_mr_rid; + wire [67:0]st_mr_rmesg; + wire [16:0]w_issuing_cnt; + wire [2:2]wr_tmp_wready; + wire [1:1]write_cs; + + system_design_xbar_1_axi_crossbar_v2_1_10_addr_arbiter addr_arbiter_ar + (.D({\s_axi_arqos[3] ,s_axi_arid}), + .SR(reset), + .S_AXI_ARREADY(S_AXI_ARREADY), + .aa_mi_arvalid(aa_mi_arvalid), + .aclk(aclk), + .aresetn_d(aresetn_d), + .aresetn_d_reg(\gen_slave_slots[0].gen_si_read.si_transactor_ar_n_0 ), + .aresetn_d_reg_0(\gen_slave_slots[0].gen_si_read.si_transactor_ar_n_2 ), + .\gen_axi.s_axi_rlast_i_reg (addr_arbiter_ar_n_9), + .\gen_master_slots[0].r_issuing_cnt_reg[0] (addr_arbiter_ar_n_4), + .\gen_master_slots[0].r_issuing_cnt_reg[1] (addr_arbiter_ar_n_2), + .\gen_master_slots[2].r_issuing_cnt_reg[16] (addr_arbiter_ar_n_6), + .\gen_no_arbiter.m_valid_i_reg_0 (aa_mi_artarget_hot), + .\m_axi_arqos[7] (\m_axi_arqos[7] ), + .m_axi_arready(m_axi_arready), + .m_axi_arvalid(m_axi_arvalid), + .\m_payload_i_reg[34] (\gen_master_slots[0].reg_slice_mi_n_54 ), + .m_valid_i(m_valid_i), + .match(match), + .mi_arready_2(mi_arready_2), + .p_15_in(p_15_in), + .r_issuing_cnt(r_issuing_cnt), + .s_axi_rvalid_i(s_axi_rvalid_i)); + system_design_xbar_1_axi_crossbar_v2_1_10_addr_arbiter_0 addr_arbiter_aw + (.D({D,s_axi_awid}), + .Q(Q), + .SR(reset), + .aa_mi_awtarget_hot({aa_mi_awtarget_hot[2],aa_mi_awtarget_hot[0]}), + .aa_sa_awvalid(aa_sa_awvalid), + .aclk(aclk), + .aresetn_d(aresetn_d), + .aresetn_d_reg(\gen_slave_slots[0].gen_si_write.si_transactor_aw_n_4 ), + .chosen({\gen_multi_thread.arbiter_resp_inst/chosen_1 [2],\gen_multi_thread.arbiter_resp_inst/chosen_1 [0]}), + .\gen_master_slots[0].w_issuing_cnt_reg[1] (addr_arbiter_aw_n_2), + .\gen_master_slots[0].w_issuing_cnt_reg[1]_0 (addr_arbiter_aw_n_3), + .\gen_master_slots[2].w_issuing_cnt_reg[16] (addr_arbiter_aw_n_7), + .\gen_master_slots[2].w_issuing_cnt_reg[16]_0 (addr_arbiter_aw_n_12), + .\gen_multi_thread.gen_thread_loop[1].active_target_reg[9] (\gen_slave_slots[0].gen_si_write.si_transactor_aw_n_2 ), + .\gen_multi_thread.gen_thread_loop[1].active_target_reg[9]_0 (\gen_slave_slots[0].gen_si_write.si_transactor_aw_n_5 ), + .\gen_multi_thread.gen_thread_loop[7].active_target_reg[57] (\gen_slave_slots[0].gen_si_write.si_transactor_aw_n_3 ), + .\gen_no_arbiter.m_target_hot_i_reg[2]_0 (addr_arbiter_aw_n_10), + .\gen_no_arbiter.m_valid_i_reg_0 (\gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17 ), + .\gen_no_arbiter.s_ready_i_reg[0]_0 (addr_arbiter_aw_n_9), + .m_axi_awready(m_axi_awready), + .m_axi_awvalid(m_axi_awvalid), + .m_ready_d(m_ready_d_2), + .m_ready_d_0(m_ready_d[0]), + .\m_ready_d_reg[1] (addr_arbiter_aw_n_8), + .match(match_0), + .mi_awready_2(mi_awready_2), + .p_38_out(p_38_out), + .p_80_out(p_80_out), + .s_axi_awvalid(s_axi_awvalid), + .s_axi_bready(s_axi_bready), + .ss_aa_awready(ss_aa_awready), + .w_issuing_cnt({w_issuing_cnt[16],w_issuing_cnt[1:0]})); + FDRE #( + .INIT(1'b0)) + aresetn_d_reg + (.C(aclk), + .CE(1'b1), + .D(aresetn), + .Q(aresetn_d), + .R(1'b0)); + system_design_xbar_1_axi_crossbar_v2_1_10_decerr_slave \gen_decerr_slave.decerr_slave_inst + (.Q(p_24_in), + .SR(reset), + .aa_mi_arvalid(aa_mi_arvalid), + .aa_mi_awtarget_hot(aa_mi_awtarget_hot[2]), + .aa_sa_awvalid(aa_sa_awvalid), + .aclk(aclk), + .aresetn_d(aresetn_d), + .\gen_axi.s_axi_awready_i_reg_0 (addr_arbiter_aw_n_7), + .\gen_axi.write_cs_reg[1]_0 (write_cs), + .\gen_no_arbiter.m_mesg_i_reg[11] (Q[11:0]), + .\gen_no_arbiter.m_mesg_i_reg[51] ({\m_axi_arqos[7] [51:44],\m_axi_arqos[7] [11:0]}), + .\gen_no_arbiter.m_mesg_i_reg[51]_0 (addr_arbiter_ar_n_9), + .\gen_no_arbiter.m_target_hot_i_reg[2] (aa_mi_artarget_hot), + .m_ready_d(m_ready_d_2), + .m_valid_i_reg(\gen_slave_slots[0].gen_si_write.wdata_router_w_n_3 ), + .mi_arready_2(mi_arready_2), + .mi_awready_2(mi_awready_2), + .mi_bready_2(mi_bready_2), + .mi_rready_2(mi_rready_2), + .p_15_in(p_15_in), + .p_17_in(p_17_in), + .p_21_in(p_21_in), + .s_axi_rvalid_i(s_axi_rvalid_i), + .\skid_buffer_reg[46] (p_20_in), + .wr_tmp_wready(wr_tmp_wready)); + FDRE \gen_master_slots[0].r_issuing_cnt_reg[0] + (.C(aclk), + .CE(1'b1), + .D(addr_arbiter_ar_n_4), + .Q(r_issuing_cnt[0]), + .R(reset)); + FDRE \gen_master_slots[0].r_issuing_cnt_reg[1] + (.C(aclk), + .CE(1'b1), + .D(addr_arbiter_ar_n_2), + .Q(r_issuing_cnt[1]), + .R(reset)); + system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice \gen_master_slots[0].reg_slice_mi + (.D({m_axi_bid[11:0],m_axi_bresp[1:0]}), + .Q({st_mr_rid[11:0],p_76_out,st_mr_rmesg[1:0],st_mr_rmesg[34:3]}), + .aclk(aclk), + .\aresetn_d_reg[1] (\gen_master_slots[2].reg_slice_mi_n_1 ), + .\aresetn_d_reg[1]_0 (\gen_master_slots[2].reg_slice_mi_n_5 ), + .chosen(\gen_multi_thread.arbiter_resp_inst/chosen_1 [0]), + .chosen_0(\gen_multi_thread.arbiter_resp_inst/chosen [0]), + .\gen_master_slots[0].r_issuing_cnt_reg[1] (\gen_master_slots[0].reg_slice_mi_n_54 ), + .\gen_master_slots[0].w_issuing_cnt_reg[0] (\gen_master_slots[0].reg_slice_mi_n_4 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ({st_mr_bid[11:0],st_mr_bmesg}), + .\gen_no_arbiter.m_target_hot_i_reg[2] (\gen_master_slots[0].reg_slice_mi_n_5 ), + .\gen_no_arbiter.m_target_hot_i_reg[2]_0 (\gen_master_slots[0].reg_slice_mi_n_6 ), + .m_axi_bready(m_axi_bready[0]), + .m_axi_bvalid(m_axi_bvalid[0]), + .m_axi_rdata(m_axi_rdata[31:0]), + .m_axi_rid(m_axi_rid[11:0]), + .m_axi_rlast(m_axi_rlast[0]), + .\m_axi_rready[0] (M_AXI_RREADY[0]), + .m_axi_rresp(m_axi_rresp[1:0]), + .m_axi_rvalid(m_axi_rvalid[0]), + .\m_ready_d_reg[1] (addr_arbiter_aw_n_3), + .p_1_in(p_1_in), + .p_74_out(p_74_out), + .p_80_out(p_80_out), + .r_issuing_cnt(r_issuing_cnt), + .s_axi_bready(s_axi_bready), + .s_axi_rready(s_axi_rready), + .w_issuing_cnt(w_issuing_cnt[1:0])); + FDRE \gen_master_slots[0].w_issuing_cnt_reg[0] + (.C(aclk), + .CE(1'b1), + .D(\gen_master_slots[0].reg_slice_mi_n_4 ), + .Q(w_issuing_cnt[0]), + .R(reset)); + FDRE \gen_master_slots[0].w_issuing_cnt_reg[1] + (.C(aclk), + .CE(1'b1), + .D(addr_arbiter_aw_n_2), + .Q(w_issuing_cnt[1]), + .R(reset)); + system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice_1 \gen_master_slots[1].reg_slice_mi + (.D({m_axi_bid[23:12],m_axi_bresp[3:2]}), + .Q({st_mr_rid[23:12],p_56_out,st_mr_rmesg[36],st_mr_rmesg[67:63],st_mr_rmesg[61:57],st_mr_rmesg[55],st_mr_rmesg[51],st_mr_rmesg[49:46],st_mr_rmesg[44:42],st_mr_rmesg[39]}), + .aclk(aclk), + .aresetn(aresetn), + .\aresetn_d_reg[1] (\gen_master_slots[1].reg_slice_mi_n_54 ), + .\aresetn_d_reg[1]_0 (\gen_master_slots[2].reg_slice_mi_n_1 ), + .\aresetn_d_reg[1]_1 (\gen_master_slots[2].reg_slice_mi_n_5 ), + .chosen(\gen_multi_thread.arbiter_resp_inst/chosen_1 [2:1]), + .chosen_0(\gen_multi_thread.arbiter_resp_inst/chosen [2:1]), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] (st_mr_bid[23:12]), + .m_axi_bready(m_axi_bready[1]), + .m_axi_bvalid(m_axi_bvalid[1]), + .m_axi_rdata(m_axi_rdata[63:32]), + .m_axi_rid(m_axi_rid[23:12]), + .m_axi_rlast(m_axi_rlast[1]), + .\m_axi_rready[1] (M_AXI_RREADY[1]), + .m_axi_rresp(m_axi_rresp[3:2]), + .m_axi_rvalid(m_axi_rvalid[1]), + .\m_payload_i_reg[1] (st_mr_bmesg), + .\m_payload_i_reg[32] ({st_mr_rmesg[0],st_mr_rmesg[34:33],st_mr_rmesg[27],st_mr_rmesg[21],st_mr_rmesg[19:17],st_mr_rmesg[15],st_mr_rmesg[10],st_mr_rmesg[6:5],st_mr_rmesg[3]}), + .p_1_in(p_1_in), + .p_32_out(p_32_out), + .p_38_out(p_38_out), + .p_54_out(p_54_out), + .p_60_out(p_60_out), + .s_axi_bready(s_axi_bready), + .s_axi_bresp(s_axi_bresp), + .s_axi_rdata({s_axi_rdata[31:30],s_axi_rdata[24],s_axi_rdata[18],s_axi_rdata[16:14],s_axi_rdata[12],s_axi_rdata[7],s_axi_rdata[3:2],s_axi_rdata[0]}), + .s_axi_rready(s_axi_rready), + .s_axi_rresp(s_axi_rresp[0])); + FDRE \gen_master_slots[2].r_issuing_cnt_reg[16] + (.C(aclk), + .CE(1'b1), + .D(\gen_master_slots[2].reg_slice_mi_n_38 ), + .Q(p_11_in), + .R(reset)); + system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice_2 \gen_master_slots[2].reg_slice_mi + (.D(p_24_in), + .Q({st_mr_bid[35:34],st_mr_bid[31],st_mr_bid[28],st_mr_bid[26],st_mr_bid[24]}), + .aclk(aclk), + .\aresetn_d_reg[0] (\gen_master_slots[1].reg_slice_mi_n_54 ), + .chosen(\gen_multi_thread.arbiter_resp_inst/chosen_1 [2]), + .chosen_0(\gen_multi_thread.arbiter_resp_inst/chosen [2]), + .\chosen_reg[1] (\gen_slave_slots[0].gen_si_write.si_transactor_aw_n_6 ), + .\gen_axi.s_axi_rid_i_reg[11] (p_20_in), + .\gen_master_slots[2].r_issuing_cnt_reg[16] (\gen_master_slots[2].reg_slice_mi_n_38 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] (\gen_master_slots[2].reg_slice_mi_n_12 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 (\gen_master_slots[2].reg_slice_mi_n_19 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 (\gen_master_slots[2].reg_slice_mi_n_20 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2 (\gen_master_slots[2].reg_slice_mi_n_21 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3 (\gen_master_slots[2].reg_slice_mi_n_22 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4 (\gen_master_slots[2].reg_slice_mi_n_23 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_5 ({st_mr_rid[35:24],p_34_out}), + .\gen_no_arbiter.m_target_hot_i_reg[2] (\gen_master_slots[2].reg_slice_mi_n_24 ), + .\gen_no_arbiter.m_valid_i_reg (addr_arbiter_ar_n_6), + .\m_payload_i_reg[11] ({st_mr_bid[21:20],st_mr_bid[18:17],st_mr_bid[15],st_mr_bid[13],st_mr_bid[9:8],st_mr_bid[6:5],st_mr_bid[3],st_mr_bid[1]}), + .m_valid_i_reg(\gen_master_slots[2].reg_slice_mi_n_1 ), + .mi_bready_2(mi_bready_2), + .mi_rready_2(mi_rready_2), + .p_11_in(p_11_in), + .p_15_in(p_15_in), + .p_17_in(p_17_in), + .p_1_in(p_1_in), + .p_21_in(p_21_in), + .p_32_out(p_32_out), + .p_38_out(p_38_out), + .s_axi_bid({s_axi_bid[9:8],s_axi_bid[6:5],s_axi_bid[3],s_axi_bid[1]}), + .s_axi_bready(s_axi_bready), + .s_axi_rready(s_axi_rready), + .s_ready_i_reg(\gen_master_slots[2].reg_slice_mi_n_5 )); + FDRE \gen_master_slots[2].w_issuing_cnt_reg[16] + (.C(aclk), + .CE(1'b1), + .D(addr_arbiter_aw_n_12), + .Q(w_issuing_cnt[16]), + .R(reset)); + system_design_xbar_1_axi_crossbar_v2_1_10_si_transactor \gen_slave_slots[0].gen_si_read.si_transactor_ar + (.Q(p_76_out), + .SR(reset), + .S_AXI_ARREADY(S_AXI_ARREADY), + .aa_mi_arvalid(aa_mi_arvalid), + .aclk(aclk), + .aresetn_d(aresetn_d), + .chosen(\gen_multi_thread.arbiter_resp_inst/chosen ), + .\gen_master_slots[0].r_issuing_cnt_reg[0] (\gen_master_slots[0].reg_slice_mi_n_6 ), + .\gen_no_arbiter.m_target_hot_i_reg[2] (\gen_slave_slots[0].gen_si_read.si_transactor_ar_n_2 ), + .\gen_no_arbiter.m_target_hot_i_reg[2]_0 (aa_mi_artarget_hot), + .\gen_no_arbiter.s_ready_i_reg[0] (\gen_slave_slots[0].gen_si_read.si_transactor_ar_n_0 ), + .\m_payload_i_reg[34] (\gen_master_slots[2].reg_slice_mi_n_24 ), + .\m_payload_i_reg[34]_0 (p_34_out), + .\m_payload_i_reg[34]_1 (p_56_out), + .m_valid_i(m_valid_i), + .match(match), + .p_11_in(p_11_in), + .p_32_out(p_32_out), + .p_54_out(p_54_out), + .p_74_out(p_74_out), + .s_axi_arid(s_axi_arid), + .s_axi_arvalid(s_axi_arvalid), + .s_axi_rdata({s_axi_rdata[29:25],s_axi_rdata[23:19],s_axi_rdata[17],s_axi_rdata[13],s_axi_rdata[11:8],s_axi_rdata[6:4],s_axi_rdata[1]}), + .s_axi_rid(s_axi_rid), + .s_axi_rlast(s_axi_rlast), + .s_axi_rready(s_axi_rready), + .s_axi_rresp(s_axi_rresp[1]), + .s_axi_rvalid(s_axi_rvalid), + .st_mr_rid(st_mr_rid), + .st_mr_rmesg({st_mr_rmesg[67:63],st_mr_rmesg[61:57],st_mr_rmesg[55],st_mr_rmesg[51],st_mr_rmesg[49:46],st_mr_rmesg[44:42],st_mr_rmesg[39],st_mr_rmesg[36],st_mr_rmesg[32:28],st_mr_rmesg[26:22],st_mr_rmesg[20],st_mr_rmesg[16],st_mr_rmesg[14:11],st_mr_rmesg[9:7],st_mr_rmesg[4],st_mr_rmesg[1]})); + system_design_xbar_1_axi_crossbar_v2_1_10_si_transactor__parameterized0 \gen_slave_slots[0].gen_si_write.si_transactor_aw + (.SR(reset), + .aa_mi_awtarget_hot(aa_mi_awtarget_hot[2]), + .aa_sa_awvalid(aa_sa_awvalid), + .aclk(aclk), + .aresetn_d(aresetn_d), + .chosen(\gen_multi_thread.arbiter_resp_inst/chosen_1 ), + .\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 (\gen_slave_slots[0].gen_si_write.si_transactor_aw_n_6 ), + .\gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0 (\gen_slave_slots[0].gen_si_write.si_transactor_aw_n_1 ), + .\gen_no_arbiter.m_target_hot_i_reg[2] (\gen_slave_slots[0].gen_si_write.si_transactor_aw_n_3 ), + .\gen_no_arbiter.m_target_hot_i_reg[2]_0 (\gen_slave_slots[0].gen_si_write.si_transactor_aw_n_4 ), + .\gen_no_arbiter.m_target_hot_i_reg[2]_1 (\gen_slave_slots[0].gen_si_write.si_transactor_aw_n_5 ), + .\gen_no_arbiter.m_valid_i_reg (\gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17 ), + .\gen_no_arbiter.s_ready_i_reg[0] (\gen_slave_slots[0].gen_si_write.si_transactor_aw_n_2 ), + .\gen_no_arbiter.s_ready_i_reg[0]_0 (addr_arbiter_aw_n_9), + .\gen_no_arbiter.s_ready_i_reg[0]_1 (\s_axi_awready[0] ), + .\m_payload_i_reg[10] (\gen_master_slots[2].reg_slice_mi_n_22 ), + .\m_payload_i_reg[11] (\gen_master_slots[2].reg_slice_mi_n_23 ), + .\m_payload_i_reg[3] (\gen_master_slots[2].reg_slice_mi_n_12 ), + .\m_payload_i_reg[5] (\gen_master_slots[2].reg_slice_mi_n_19 ), + .\m_payload_i_reg[7] (\gen_master_slots[2].reg_slice_mi_n_20 ), + .\m_payload_i_reg[8] (\gen_master_slots[2].reg_slice_mi_n_21 ), + .\m_ready_d_reg[1] (splitter_aw_mi_n_0), + .m_valid_i_reg(\gen_master_slots[0].reg_slice_mi_n_5 ), + .match(match_0), + .p_38_out(p_38_out), + .p_60_out(p_60_out), + .p_80_out(p_80_out), + .\s_axi_awaddr[25] (addr_arbiter_aw_n_10), + .s_axi_awid(s_axi_awid), + .s_axi_bid({s_axi_bid[11:10],s_axi_bid[7],s_axi_bid[4],s_axi_bid[2],s_axi_bid[0]}), + .s_axi_bready(s_axi_bready), + .s_axi_bvalid(s_axi_bvalid), + .st_mr_bid({st_mr_bid[35:34],st_mr_bid[31],st_mr_bid[28],st_mr_bid[26],st_mr_bid[24:22],st_mr_bid[19],st_mr_bid[16],st_mr_bid[14],st_mr_bid[12:10],st_mr_bid[7],st_mr_bid[4],st_mr_bid[2],st_mr_bid[0]}), + .w_issuing_cnt({w_issuing_cnt[16],w_issuing_cnt[1:0]})); + system_design_xbar_1_axi_crossbar_v2_1_10_splitter \gen_slave_slots[0].gen_si_write.splitter_aw_si + (.aclk(aclk), + .aresetn_d(aresetn_d), + .m_ready_d(m_ready_d), + .\s_axi_awready[0] (\s_axi_awready[0] ), + .s_axi_awvalid(s_axi_awvalid), + .ss_aa_awready(ss_aa_awready), + .ss_wr_awready(ss_wr_awready), + .ss_wr_awvalid(ss_wr_awvalid)); + system_design_xbar_1_axi_crossbar_v2_1_10_wdata_router \gen_slave_slots[0].gen_si_write.wdata_router_w + (.SR(reset), + .aclk(aclk), + .\gen_axi.write_cs_reg[1] (\gen_slave_slots[0].gen_si_write.wdata_router_w_n_3 ), + .\gen_axi.write_cs_reg[1]_0 (write_cs), + .m_axi_wready(m_axi_wready), + .m_axi_wvalid(m_axi_wvalid), + .m_ready_d(m_ready_d[1]), + .match(match_0), + .\s_axi_awaddr[20] (\gen_slave_slots[0].gen_si_write.si_transactor_aw_n_1 ), + .s_axi_awvalid(s_axi_awvalid), + .s_axi_wlast(s_axi_wlast), + .s_axi_wready(s_axi_wready), + .s_axi_wvalid(s_axi_wvalid), + .ss_wr_awready(ss_wr_awready), + .ss_wr_awvalid(ss_wr_awvalid), + .wr_tmp_wready(wr_tmp_wready)); + system_design_xbar_1_axi_crossbar_v2_1_10_splitter_3 splitter_aw_mi + (.aa_mi_awtarget_hot({aa_mi_awtarget_hot[2],aa_mi_awtarget_hot[0]}), + .aa_sa_awvalid(aa_sa_awvalid), + .aclk(aclk), + .aresetn_d(aresetn_d), + .\gen_axi.s_axi_awready_i_reg (addr_arbiter_aw_n_8), + .m_axi_awready(m_axi_awready), + .\m_ready_d_reg[1]_0 (splitter_aw_mi_n_0), + .\m_ready_d_reg[1]_1 (m_ready_d_2), + .mi_awready_2(mi_awready_2)); +endmodule + +(* ORIG_REF_NAME = "axi_crossbar_v2_1_10_decerr_slave" *) +module system_design_xbar_1_axi_crossbar_v2_1_10_decerr_slave + (mi_awready_2, + wr_tmp_wready, + p_21_in, + p_15_in, + p_17_in, + \gen_axi.write_cs_reg[1]_0 , + mi_arready_2, + Q, + \skid_buffer_reg[46] , + SR, + aclk, + aa_sa_awvalid, + m_ready_d, + aa_mi_awtarget_hot, + s_axi_rvalid_i, + mi_rready_2, + \gen_no_arbiter.m_mesg_i_reg[51] , + \gen_axi.s_axi_awready_i_reg_0 , + mi_bready_2, + m_valid_i_reg, + aa_mi_arvalid, + \gen_no_arbiter.m_target_hot_i_reg[2] , + \gen_no_arbiter.m_mesg_i_reg[51]_0 , + \gen_no_arbiter.m_mesg_i_reg[11] , + aresetn_d); + output mi_awready_2; + output [0:0]wr_tmp_wready; + output p_21_in; + output p_15_in; + output p_17_in; + output [0:0]\gen_axi.write_cs_reg[1]_0 ; + output mi_arready_2; + output [11:0]Q; + output [11:0]\skid_buffer_reg[46] ; + input [0:0]SR; + input aclk; + input aa_sa_awvalid; + input [0:0]m_ready_d; + input [0:0]aa_mi_awtarget_hot; + input s_axi_rvalid_i; + input mi_rready_2; + input [19:0]\gen_no_arbiter.m_mesg_i_reg[51] ; + input \gen_axi.s_axi_awready_i_reg_0 ; + input mi_bready_2; + input m_valid_i_reg; + input aa_mi_arvalid; + input [0:0]\gen_no_arbiter.m_target_hot_i_reg[2] ; + input \gen_no_arbiter.m_mesg_i_reg[51]_0 ; + input [11:0]\gen_no_arbiter.m_mesg_i_reg[11] ; + input aresetn_d; + + wire [11:0]Q; + wire [0:0]SR; + wire aa_mi_arvalid; + wire [0:0]aa_mi_awtarget_hot; + wire aa_sa_awvalid; + wire aclk; + wire aresetn_d; + wire \gen_axi.read_cnt[5]_i_2_n_0 ; + wire \gen_axi.read_cnt[7]_i_1_n_0 ; + wire \gen_axi.read_cnt[7]_i_3_n_0 ; + wire [0:0]\gen_axi.read_cnt_reg ; + wire \gen_axi.read_cs[0]_i_1_n_0 ; + wire \gen_axi.s_axi_arready_i_i_1_n_0 ; + wire \gen_axi.s_axi_arready_i_i_2_n_0 ; + wire \gen_axi.s_axi_awready_i_i_1_n_0 ; + wire \gen_axi.s_axi_awready_i_reg_0 ; + wire \gen_axi.s_axi_bid_i[11]_i_1_n_0 ; + wire \gen_axi.s_axi_bvalid_i_i_1_n_0 ; + wire \gen_axi.s_axi_rlast_i_i_1_n_0 ; + wire \gen_axi.s_axi_rlast_i_i_3_n_0 ; + wire \gen_axi.s_axi_rlast_i_i_5_n_0 ; + wire \gen_axi.s_axi_wready_i_i_1_n_0 ; + wire \gen_axi.write_cs[0]_i_1_n_0 ; + wire \gen_axi.write_cs[1]_i_1_n_0 ; + wire [0:0]\gen_axi.write_cs_reg[1]_0 ; + wire [11:0]\gen_no_arbiter.m_mesg_i_reg[11] ; + wire [19:0]\gen_no_arbiter.m_mesg_i_reg[51] ; + wire \gen_no_arbiter.m_mesg_i_reg[51]_0 ; + wire [0:0]\gen_no_arbiter.m_target_hot_i_reg[2] ; + wire [0:0]m_ready_d; + wire m_valid_i_reg; + wire mi_arready_2; + wire mi_awready_2; + wire mi_bready_2; + wire mi_rready_2; + wire [7:0]p_0_in; + wire [6:0]p_0_in_0; + wire p_15_in; + wire p_17_in; + wire p_21_in; + wire s_axi_rvalid_i; + wire [11:0]\skid_buffer_reg[46] ; + wire [0:0]wr_tmp_wready; + wire [0:0]write_cs; + + (* SOFT_HLUTNM = "soft_lutpair5" *) + LUT3 #( + .INIT(8'h74)) + \gen_axi.read_cnt[0]_i_1 + (.I0(\gen_axi.read_cnt_reg ), + .I1(p_15_in), + .I2(\gen_no_arbiter.m_mesg_i_reg[51] [12]), + .O(p_0_in[0])); + (* SOFT_HLUTNM = "soft_lutpair5" *) + LUT4 #( + .INIT(16'h9F90)) + \gen_axi.read_cnt[1]_i_1 + (.I0(p_0_in_0[6]), + .I1(\gen_axi.read_cnt_reg ), + .I2(p_15_in), + .I3(\gen_no_arbiter.m_mesg_i_reg[51] [13]), + .O(p_0_in[1])); + LUT5 #( + .INIT(32'hA9FFA900)) + \gen_axi.read_cnt[2]_i_1 + (.I0(p_0_in_0[5]), + .I1(\gen_axi.read_cnt_reg ), + .I2(p_0_in_0[6]), + .I3(p_15_in), + .I4(\gen_no_arbiter.m_mesg_i_reg[51] [14]), + .O(p_0_in[2])); + LUT6 #( + .INIT(64'hAAA9FFFFAAA90000)) + \gen_axi.read_cnt[3]_i_1 + (.I0(p_0_in_0[4]), + .I1(p_0_in_0[5]), + .I2(p_0_in_0[6]), + .I3(\gen_axi.read_cnt_reg ), + .I4(p_15_in), + .I5(\gen_no_arbiter.m_mesg_i_reg[51] [15]), + .O(p_0_in[3])); + LUT4 #( + .INIT(16'h6F60)) + \gen_axi.read_cnt[4]_i_1 + (.I0(p_0_in_0[3]), + .I1(\gen_axi.read_cnt[5]_i_2_n_0 ), + .I2(p_15_in), + .I3(\gen_no_arbiter.m_mesg_i_reg[51] [16]), + .O(p_0_in[4])); + LUT5 #( + .INIT(32'h9AFF9A00)) + \gen_axi.read_cnt[5]_i_1 + (.I0(p_0_in_0[2]), + .I1(p_0_in_0[3]), + .I2(\gen_axi.read_cnt[5]_i_2_n_0 ), + .I3(p_15_in), + .I4(\gen_no_arbiter.m_mesg_i_reg[51] [17]), + .O(p_0_in[5])); + LUT4 #( + .INIT(16'h0001)) + \gen_axi.read_cnt[5]_i_2 + (.I0(\gen_axi.read_cnt_reg ), + .I1(p_0_in_0[6]), + .I2(p_0_in_0[5]), + .I3(p_0_in_0[4]), + .O(\gen_axi.read_cnt[5]_i_2_n_0 )); + LUT4 #( + .INIT(16'h9F90)) + \gen_axi.read_cnt[6]_i_1 + (.I0(p_0_in_0[1]), + .I1(\gen_axi.read_cnt[7]_i_3_n_0 ), + .I2(p_15_in), + .I3(\gen_no_arbiter.m_mesg_i_reg[51] [18]), + .O(p_0_in[6])); + LUT6 #( + .INIT(64'hEAEAEAEAEAEAEAAA)) + \gen_axi.read_cnt[7]_i_1 + (.I0(s_axi_rvalid_i), + .I1(p_15_in), + .I2(mi_rready_2), + .I3(\gen_axi.read_cnt[7]_i_3_n_0 ), + .I4(p_0_in_0[1]), + .I5(p_0_in_0[0]), + .O(\gen_axi.read_cnt[7]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair4" *) + LUT5 #( + .INIT(32'hA9FFA900)) + \gen_axi.read_cnt[7]_i_2 + (.I0(p_0_in_0[0]), + .I1(\gen_axi.read_cnt[7]_i_3_n_0 ), + .I2(p_0_in_0[1]), + .I3(p_15_in), + .I4(\gen_no_arbiter.m_mesg_i_reg[51] [19]), + .O(p_0_in[7])); + LUT6 #( + .INIT(64'hFFFFFFFFFFFFFFFE)) + \gen_axi.read_cnt[7]_i_3 + (.I0(p_0_in_0[3]), + .I1(p_0_in_0[2]), + .I2(p_0_in_0[4]), + .I3(p_0_in_0[5]), + .I4(p_0_in_0[6]), + .I5(\gen_axi.read_cnt_reg ), + .O(\gen_axi.read_cnt[7]_i_3_n_0 )); + FDRE \gen_axi.read_cnt_reg[0] + (.C(aclk), + .CE(\gen_axi.read_cnt[7]_i_1_n_0 ), + .D(p_0_in[0]), + .Q(\gen_axi.read_cnt_reg ), + .R(SR)); + FDRE \gen_axi.read_cnt_reg[1] + (.C(aclk), + .CE(\gen_axi.read_cnt[7]_i_1_n_0 ), + .D(p_0_in[1]), + .Q(p_0_in_0[6]), + .R(SR)); + FDRE \gen_axi.read_cnt_reg[2] + (.C(aclk), + .CE(\gen_axi.read_cnt[7]_i_1_n_0 ), + .D(p_0_in[2]), + .Q(p_0_in_0[5]), + .R(SR)); + FDRE \gen_axi.read_cnt_reg[3] + (.C(aclk), + .CE(\gen_axi.read_cnt[7]_i_1_n_0 ), + .D(p_0_in[3]), + .Q(p_0_in_0[4]), + .R(SR)); + FDRE \gen_axi.read_cnt_reg[4] + (.C(aclk), + .CE(\gen_axi.read_cnt[7]_i_1_n_0 ), + .D(p_0_in[4]), + .Q(p_0_in_0[3]), + .R(SR)); + FDRE \gen_axi.read_cnt_reg[5] + (.C(aclk), + .CE(\gen_axi.read_cnt[7]_i_1_n_0 ), + .D(p_0_in[5]), + .Q(p_0_in_0[2]), + .R(SR)); + FDRE \gen_axi.read_cnt_reg[6] + (.C(aclk), + .CE(\gen_axi.read_cnt[7]_i_1_n_0 ), + .D(p_0_in[6]), + .Q(p_0_in_0[1]), + .R(SR)); + FDRE \gen_axi.read_cnt_reg[7] + (.C(aclk), + .CE(\gen_axi.read_cnt[7]_i_1_n_0 ), + .D(p_0_in[7]), + .Q(p_0_in_0[0]), + .R(SR)); + LUT6 #( + .INIT(64'hDFD0D0D0D0D0D0D0)) + \gen_axi.read_cs[0]_i_1 + (.I0(mi_rready_2), + .I1(\gen_axi.s_axi_arready_i_i_2_n_0 ), + .I2(p_15_in), + .I3(aa_mi_arvalid), + .I4(mi_arready_2), + .I5(\gen_no_arbiter.m_target_hot_i_reg[2] ), + .O(\gen_axi.read_cs[0]_i_1_n_0 )); + FDRE \gen_axi.read_cs_reg[0] + (.C(aclk), + .CE(1'b1), + .D(\gen_axi.read_cs[0]_i_1_n_0 ), + .Q(p_15_in), + .R(SR)); + LUT6 #( + .INIT(64'h00000000BFBB0000)) + \gen_axi.s_axi_arready_i_i_1 + (.I0(mi_arready_2), + .I1(p_15_in), + .I2(\gen_axi.s_axi_arready_i_i_2_n_0 ), + .I3(mi_rready_2), + .I4(aresetn_d), + .I5(s_axi_rvalid_i), + .O(\gen_axi.s_axi_arready_i_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair4" *) + LUT3 #( + .INIT(8'hFE)) + \gen_axi.s_axi_arready_i_i_2 + (.I0(\gen_axi.read_cnt[7]_i_3_n_0 ), + .I1(p_0_in_0[1]), + .I2(p_0_in_0[0]), + .O(\gen_axi.s_axi_arready_i_i_2_n_0 )); + FDRE \gen_axi.s_axi_arready_i_reg + (.C(aclk), + .CE(1'b1), + .D(\gen_axi.s_axi_arready_i_i_1_n_0 ), + .Q(mi_arready_2), + .R(1'b0)); + LUT5 #( + .INIT(32'hFFDD3011)) + \gen_axi.s_axi_awready_i_i_1 + (.I0(\gen_axi.s_axi_awready_i_reg_0 ), + .I1(write_cs), + .I2(mi_bready_2), + .I3(\gen_axi.write_cs_reg[1]_0 ), + .I4(mi_awready_2), + .O(\gen_axi.s_axi_awready_i_i_1_n_0 )); + FDRE \gen_axi.s_axi_awready_i_reg + (.C(aclk), + .CE(1'b1), + .D(\gen_axi.s_axi_awready_i_i_1_n_0 ), + .Q(mi_awready_2), + .R(SR)); + LUT6 #( + .INIT(64'h0010000000000000)) + \gen_axi.s_axi_bid_i[11]_i_1 + (.I0(\gen_axi.write_cs_reg[1]_0 ), + .I1(write_cs), + .I2(aa_sa_awvalid), + .I3(m_ready_d), + .I4(aa_mi_awtarget_hot), + .I5(mi_awready_2), + .O(\gen_axi.s_axi_bid_i[11]_i_1_n_0 )); + FDRE \gen_axi.s_axi_bid_i_reg[0] + (.C(aclk), + .CE(\gen_axi.s_axi_bid_i[11]_i_1_n_0 ), + .D(\gen_no_arbiter.m_mesg_i_reg[11] [0]), + .Q(Q[0]), + .R(SR)); + FDRE \gen_axi.s_axi_bid_i_reg[10] + (.C(aclk), + .CE(\gen_axi.s_axi_bid_i[11]_i_1_n_0 ), + .D(\gen_no_arbiter.m_mesg_i_reg[11] [10]), + .Q(Q[10]), + .R(SR)); + FDRE \gen_axi.s_axi_bid_i_reg[11] + (.C(aclk), + .CE(\gen_axi.s_axi_bid_i[11]_i_1_n_0 ), + .D(\gen_no_arbiter.m_mesg_i_reg[11] [11]), + .Q(Q[11]), + .R(SR)); + FDRE \gen_axi.s_axi_bid_i_reg[1] + (.C(aclk), + .CE(\gen_axi.s_axi_bid_i[11]_i_1_n_0 ), + .D(\gen_no_arbiter.m_mesg_i_reg[11] [1]), + .Q(Q[1]), + .R(SR)); + FDRE \gen_axi.s_axi_bid_i_reg[2] + (.C(aclk), + .CE(\gen_axi.s_axi_bid_i[11]_i_1_n_0 ), + .D(\gen_no_arbiter.m_mesg_i_reg[11] [2]), + .Q(Q[2]), + .R(SR)); + FDRE \gen_axi.s_axi_bid_i_reg[3] + (.C(aclk), + .CE(\gen_axi.s_axi_bid_i[11]_i_1_n_0 ), + .D(\gen_no_arbiter.m_mesg_i_reg[11] [3]), + .Q(Q[3]), + .R(SR)); + FDRE \gen_axi.s_axi_bid_i_reg[4] + (.C(aclk), + .CE(\gen_axi.s_axi_bid_i[11]_i_1_n_0 ), + .D(\gen_no_arbiter.m_mesg_i_reg[11] [4]), + .Q(Q[4]), + .R(SR)); + FDRE \gen_axi.s_axi_bid_i_reg[5] + (.C(aclk), + .CE(\gen_axi.s_axi_bid_i[11]_i_1_n_0 ), + .D(\gen_no_arbiter.m_mesg_i_reg[11] [5]), + .Q(Q[5]), + .R(SR)); + FDRE \gen_axi.s_axi_bid_i_reg[6] + (.C(aclk), + .CE(\gen_axi.s_axi_bid_i[11]_i_1_n_0 ), + .D(\gen_no_arbiter.m_mesg_i_reg[11] [6]), + .Q(Q[6]), + .R(SR)); + FDRE \gen_axi.s_axi_bid_i_reg[7] + (.C(aclk), + .CE(\gen_axi.s_axi_bid_i[11]_i_1_n_0 ), + .D(\gen_no_arbiter.m_mesg_i_reg[11] [7]), + .Q(Q[7]), + .R(SR)); + FDRE \gen_axi.s_axi_bid_i_reg[8] + (.C(aclk), + .CE(\gen_axi.s_axi_bid_i[11]_i_1_n_0 ), + .D(\gen_no_arbiter.m_mesg_i_reg[11] [8]), + .Q(Q[8]), + .R(SR)); + FDRE \gen_axi.s_axi_bid_i_reg[9] + (.C(aclk), + .CE(\gen_axi.s_axi_bid_i[11]_i_1_n_0 ), + .D(\gen_no_arbiter.m_mesg_i_reg[11] [9]), + .Q(Q[9]), + .R(SR)); + LUT5 #( + .INIT(32'hEFFFA888)) + \gen_axi.s_axi_bvalid_i_i_1 + (.I0(m_valid_i_reg), + .I1(write_cs), + .I2(\gen_axi.write_cs_reg[1]_0 ), + .I3(mi_bready_2), + .I4(p_21_in), + .O(\gen_axi.s_axi_bvalid_i_i_1_n_0 )); + FDRE \gen_axi.s_axi_bvalid_i_reg + (.C(aclk), + .CE(1'b1), + .D(\gen_axi.s_axi_bvalid_i_i_1_n_0 ), + .Q(p_21_in), + .R(SR)); + FDRE \gen_axi.s_axi_rid_i_reg[0] + (.C(aclk), + .CE(s_axi_rvalid_i), + .D(\gen_no_arbiter.m_mesg_i_reg[51] [0]), + .Q(\skid_buffer_reg[46] [0]), + .R(SR)); + FDRE \gen_axi.s_axi_rid_i_reg[10] + (.C(aclk), + .CE(s_axi_rvalid_i), + .D(\gen_no_arbiter.m_mesg_i_reg[51] [10]), + .Q(\skid_buffer_reg[46] [10]), + .R(SR)); + FDRE \gen_axi.s_axi_rid_i_reg[11] + (.C(aclk), + .CE(s_axi_rvalid_i), + .D(\gen_no_arbiter.m_mesg_i_reg[51] [11]), + .Q(\skid_buffer_reg[46] [11]), + .R(SR)); + FDRE \gen_axi.s_axi_rid_i_reg[1] + (.C(aclk), + .CE(s_axi_rvalid_i), + .D(\gen_no_arbiter.m_mesg_i_reg[51] [1]), + .Q(\skid_buffer_reg[46] [1]), + .R(SR)); + FDRE \gen_axi.s_axi_rid_i_reg[2] + (.C(aclk), + .CE(s_axi_rvalid_i), + .D(\gen_no_arbiter.m_mesg_i_reg[51] [2]), + .Q(\skid_buffer_reg[46] [2]), + .R(SR)); + FDRE \gen_axi.s_axi_rid_i_reg[3] + (.C(aclk), + .CE(s_axi_rvalid_i), + .D(\gen_no_arbiter.m_mesg_i_reg[51] [3]), + .Q(\skid_buffer_reg[46] [3]), + .R(SR)); + FDRE \gen_axi.s_axi_rid_i_reg[4] + (.C(aclk), + .CE(s_axi_rvalid_i), + .D(\gen_no_arbiter.m_mesg_i_reg[51] [4]), + .Q(\skid_buffer_reg[46] [4]), + .R(SR)); + FDRE \gen_axi.s_axi_rid_i_reg[5] + (.C(aclk), + .CE(s_axi_rvalid_i), + .D(\gen_no_arbiter.m_mesg_i_reg[51] [5]), + .Q(\skid_buffer_reg[46] [5]), + .R(SR)); + FDRE \gen_axi.s_axi_rid_i_reg[6] + (.C(aclk), + .CE(s_axi_rvalid_i), + .D(\gen_no_arbiter.m_mesg_i_reg[51] [6]), + .Q(\skid_buffer_reg[46] [6]), + .R(SR)); + FDRE \gen_axi.s_axi_rid_i_reg[7] + (.C(aclk), + .CE(s_axi_rvalid_i), + .D(\gen_no_arbiter.m_mesg_i_reg[51] [7]), + .Q(\skid_buffer_reg[46] [7]), + .R(SR)); + FDRE \gen_axi.s_axi_rid_i_reg[8] + (.C(aclk), + .CE(s_axi_rvalid_i), + .D(\gen_no_arbiter.m_mesg_i_reg[51] [8]), + .Q(\skid_buffer_reg[46] [8]), + .R(SR)); + FDRE \gen_axi.s_axi_rid_i_reg[9] + (.C(aclk), + .CE(s_axi_rvalid_i), + .D(\gen_no_arbiter.m_mesg_i_reg[51] [9]), + .Q(\skid_buffer_reg[46] [9]), + .R(SR)); + LUT5 #( + .INIT(32'hB8FFB800)) + \gen_axi.s_axi_rlast_i_i_1 + (.I0(\gen_axi.s_axi_arready_i_i_2_n_0 ), + .I1(p_15_in), + .I2(\gen_no_arbiter.m_mesg_i_reg[51]_0 ), + .I3(\gen_axi.s_axi_rlast_i_i_3_n_0 ), + .I4(p_17_in), + .O(\gen_axi.s_axi_rlast_i_i_1_n_0 )); + LUT5 #( + .INIT(32'hBAAAAAAA)) + \gen_axi.s_axi_rlast_i_i_3 + (.I0(s_axi_rvalid_i), + .I1(p_0_in_0[6]), + .I2(mi_rready_2), + .I3(p_15_in), + .I4(\gen_axi.s_axi_rlast_i_i_5_n_0 ), + .O(\gen_axi.s_axi_rlast_i_i_3_n_0 )); + LUT6 #( + .INIT(64'h0000000000000001)) + \gen_axi.s_axi_rlast_i_i_5 + (.I0(p_0_in_0[1]), + .I1(p_0_in_0[0]), + .I2(p_0_in_0[2]), + .I3(p_0_in_0[3]), + .I4(p_0_in_0[4]), + .I5(p_0_in_0[5]), + .O(\gen_axi.s_axi_rlast_i_i_5_n_0 )); + FDRE \gen_axi.s_axi_rlast_i_reg + (.C(aclk), + .CE(1'b1), + .D(\gen_axi.s_axi_rlast_i_i_1_n_0 ), + .Q(p_17_in), + .R(SR)); + LUT5 #( + .INIT(32'h0FFF0202)) + \gen_axi.s_axi_wready_i_i_1 + (.I0(\gen_axi.s_axi_awready_i_reg_0 ), + .I1(\gen_axi.write_cs_reg[1]_0 ), + .I2(write_cs), + .I3(m_valid_i_reg), + .I4(wr_tmp_wready), + .O(\gen_axi.s_axi_wready_i_i_1_n_0 )); + FDRE \gen_axi.s_axi_wready_i_reg + (.C(aclk), + .CE(1'b1), + .D(\gen_axi.s_axi_wready_i_i_1_n_0 ), + .Q(wr_tmp_wready), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair3" *) + LUT4 #( + .INIT(16'h0252)) + \gen_axi.write_cs[0]_i_1 + (.I0(\gen_axi.s_axi_bid_i[11]_i_1_n_0 ), + .I1(\gen_axi.write_cs_reg[1]_0 ), + .I2(write_cs), + .I3(m_valid_i_reg), + .O(\gen_axi.write_cs[0]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair3" *) + LUT5 #( + .INIT(32'hFF10FA10)) + \gen_axi.write_cs[1]_i_1 + (.I0(\gen_axi.s_axi_bid_i[11]_i_1_n_0 ), + .I1(mi_bready_2), + .I2(\gen_axi.write_cs_reg[1]_0 ), + .I3(write_cs), + .I4(m_valid_i_reg), + .O(\gen_axi.write_cs[1]_i_1_n_0 )); + FDRE \gen_axi.write_cs_reg[0] + (.C(aclk), + .CE(1'b1), + .D(\gen_axi.write_cs[0]_i_1_n_0 ), + .Q(write_cs), + .R(SR)); + FDRE \gen_axi.write_cs_reg[1] + (.C(aclk), + .CE(1'b1), + .D(\gen_axi.write_cs[1]_i_1_n_0 ), + .Q(\gen_axi.write_cs_reg[1]_0 ), + .R(SR)); +endmodule + +(* ORIG_REF_NAME = "axi_crossbar_v2_1_10_si_transactor" *) +module system_design_xbar_1_axi_crossbar_v2_1_10_si_transactor + (\gen_no_arbiter.s_ready_i_reg[0] , + m_valid_i, + \gen_no_arbiter.m_target_hot_i_reg[2] , + s_axi_rlast, + chosen, + s_axi_rvalid, + s_axi_rresp, + s_axi_rid, + s_axi_rdata, + SR, + aclk, + aresetn_d, + match, + \gen_no_arbiter.m_target_hot_i_reg[2]_0 , + aa_mi_arvalid, + S_AXI_ARREADY, + s_axi_arvalid, + \gen_master_slots[0].r_issuing_cnt_reg[0] , + p_74_out, + s_axi_rready, + p_32_out, + p_54_out, + p_11_in, + \m_payload_i_reg[34] , + st_mr_rmesg, + st_mr_rid, + \m_payload_i_reg[34]_0 , + Q, + \m_payload_i_reg[34]_1 , + s_axi_arid); + output \gen_no_arbiter.s_ready_i_reg[0] ; + output m_valid_i; + output \gen_no_arbiter.m_target_hot_i_reg[2] ; + output [0:0]s_axi_rlast; + output [2:0]chosen; + output [0:0]s_axi_rvalid; + output [0:0]s_axi_rresp; + output [11:0]s_axi_rid; + output [19:0]s_axi_rdata; + input [0:0]SR; + input aclk; + input aresetn_d; + input match; + input [0:0]\gen_no_arbiter.m_target_hot_i_reg[2]_0 ; + input aa_mi_arvalid; + input [0:0]S_AXI_ARREADY; + input [0:0]s_axi_arvalid; + input \gen_master_slots[0].r_issuing_cnt_reg[0] ; + input p_74_out; + input [0:0]s_axi_rready; + input p_32_out; + input p_54_out; + input p_11_in; + input \m_payload_i_reg[34] ; + input [41:0]st_mr_rmesg; + input [35:0]st_mr_rid; + input [0:0]\m_payload_i_reg[34]_0 ; + input [0:0]Q; + input [0:0]\m_payload_i_reg[34]_1 ; + input [11:0]s_axi_arid; + + wire [0:0]Q; + wire [0:0]SR; + wire [0:0]S_AXI_ARREADY; + wire aa_mi_arvalid; + wire aclk; + wire [59:0]active_cnt; + wire [57:1]active_target; + wire aid_match_00; + wire aid_match_00_carry_i_1_n_0; + wire aid_match_00_carry_i_2_n_0; + wire aid_match_00_carry_i_3_n_0; + wire aid_match_00_carry_i_4_n_0; + wire aid_match_00_carry_n_1; + wire aid_match_00_carry_n_2; + wire aid_match_00_carry_n_3; + wire aid_match_10; + wire aid_match_10_carry_i_1_n_0; + wire aid_match_10_carry_i_2_n_0; + wire aid_match_10_carry_i_3_n_0; + wire aid_match_10_carry_i_4_n_0; + wire aid_match_10_carry_n_1; + wire aid_match_10_carry_n_2; + wire aid_match_10_carry_n_3; + wire aid_match_20; + wire aid_match_20_carry_i_1_n_0; + wire aid_match_20_carry_i_2_n_0; + wire aid_match_20_carry_i_3_n_0; + wire aid_match_20_carry_i_4_n_0; + wire aid_match_20_carry_n_1; + wire aid_match_20_carry_n_2; + wire aid_match_20_carry_n_3; + wire aid_match_30; + wire aid_match_30_carry_i_1_n_0; + wire aid_match_30_carry_i_2_n_0; + wire aid_match_30_carry_i_3_n_0; + wire aid_match_30_carry_i_4_n_0; + wire aid_match_30_carry_n_1; + wire aid_match_30_carry_n_2; + wire aid_match_30_carry_n_3; + wire aid_match_40; + wire aid_match_40_carry_i_1_n_0; + wire aid_match_40_carry_i_2_n_0; + wire aid_match_40_carry_i_3_n_0; + wire aid_match_40_carry_i_4_n_0; + wire aid_match_40_carry_n_1; + wire aid_match_40_carry_n_2; + wire aid_match_40_carry_n_3; + wire aid_match_50; + wire aid_match_50_carry_i_1_n_0; + wire aid_match_50_carry_i_2_n_0; + wire aid_match_50_carry_i_3_n_0; + wire aid_match_50_carry_i_4_n_0; + wire aid_match_50_carry_n_1; + wire aid_match_50_carry_n_2; + wire aid_match_50_carry_n_3; + wire aid_match_60; + wire aid_match_60_carry_i_1_n_0; + wire aid_match_60_carry_i_2_n_0; + wire aid_match_60_carry_i_3_n_0; + wire aid_match_60_carry_i_4_n_0; + wire aid_match_60_carry_n_1; + wire aid_match_60_carry_n_2; + wire aid_match_60_carry_n_3; + wire aid_match_70; + wire aid_match_70_carry_i_1_n_0; + wire aid_match_70_carry_i_2_n_0; + wire aid_match_70_carry_i_3_n_0; + wire aid_match_70_carry_i_4_n_0; + wire aid_match_70_carry_n_1; + wire aid_match_70_carry_n_2; + wire aid_match_70_carry_n_3; + wire aresetn_d; + wire [2:0]chosen; + wire cmd_push_0; + wire cmd_push_1; + wire cmd_push_2; + wire cmd_push_3; + wire cmd_push_4; + wire cmd_push_5; + wire cmd_push_6; + wire cmd_push_7; + wire \gen_master_slots[0].r_issuing_cnt_reg[0] ; + wire \gen_multi_thread.accept_cnt[0]_i_1_n_0 ; + wire [3:0]\gen_multi_thread.accept_cnt_reg__0 ; + wire \gen_multi_thread.arbiter_resp_inst_n_10 ; + wire \gen_multi_thread.arbiter_resp_inst_n_11 ; + wire \gen_multi_thread.arbiter_resp_inst_n_12 ; + wire \gen_multi_thread.arbiter_resp_inst_n_13 ; + wire \gen_multi_thread.arbiter_resp_inst_n_14 ; + wire \gen_multi_thread.arbiter_resp_inst_n_21 ; + wire \gen_multi_thread.arbiter_resp_inst_n_22 ; + wire \gen_multi_thread.arbiter_resp_inst_n_23 ; + wire \gen_multi_thread.arbiter_resp_inst_n_24 ; + wire \gen_multi_thread.arbiter_resp_inst_n_25 ; + wire \gen_multi_thread.arbiter_resp_inst_n_26 ; + wire \gen_multi_thread.arbiter_resp_inst_n_27 ; + wire \gen_multi_thread.arbiter_resp_inst_n_28 ; + wire \gen_multi_thread.arbiter_resp_inst_n_29 ; + wire \gen_multi_thread.arbiter_resp_inst_n_3 ; + wire \gen_multi_thread.arbiter_resp_inst_n_30 ; + wire \gen_multi_thread.arbiter_resp_inst_n_31 ; + wire \gen_multi_thread.arbiter_resp_inst_n_32 ; + wire \gen_multi_thread.arbiter_resp_inst_n_33 ; + wire \gen_multi_thread.arbiter_resp_inst_n_34 ; + wire \gen_multi_thread.arbiter_resp_inst_n_35 ; + wire \gen_multi_thread.arbiter_resp_inst_n_36 ; + wire \gen_multi_thread.arbiter_resp_inst_n_37 ; + wire \gen_multi_thread.arbiter_resp_inst_n_38 ; + wire \gen_multi_thread.arbiter_resp_inst_n_39 ; + wire \gen_multi_thread.arbiter_resp_inst_n_4 ; + wire \gen_multi_thread.arbiter_resp_inst_n_40 ; + wire \gen_multi_thread.arbiter_resp_inst_n_41 ; + wire \gen_multi_thread.arbiter_resp_inst_n_42 ; + wire \gen_multi_thread.arbiter_resp_inst_n_43 ; + wire \gen_multi_thread.arbiter_resp_inst_n_44 ; + wire \gen_multi_thread.arbiter_resp_inst_n_45 ; + wire \gen_multi_thread.arbiter_resp_inst_n_46 ; + wire \gen_multi_thread.arbiter_resp_inst_n_47 ; + wire \gen_multi_thread.arbiter_resp_inst_n_48 ; + wire \gen_multi_thread.arbiter_resp_inst_n_49 ; + wire \gen_multi_thread.arbiter_resp_inst_n_5 ; + wire \gen_multi_thread.arbiter_resp_inst_n_50 ; + wire \gen_multi_thread.arbiter_resp_inst_n_51 ; + wire \gen_multi_thread.arbiter_resp_inst_n_52 ; + wire \gen_multi_thread.arbiter_resp_inst_n_6 ; + wire \gen_multi_thread.arbiter_resp_inst_n_7 ; + wire \gen_multi_thread.arbiter_resp_inst_n_8 ; + wire \gen_multi_thread.arbiter_resp_inst_n_9 ; + wire \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0_n_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 ; + wire \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0_n_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 ; + wire \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0_n_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 ; + wire \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0_n_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 ; + wire \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3_n_0 ; + wire \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0_n_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 ; + wire \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0_n_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 ; + wire \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3_n_0 ; + wire \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0_n_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0_n_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_13__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9__0_n_0 ; + wire \gen_no_arbiter.m_target_hot_i_reg[2] ; + wire [0:0]\gen_no_arbiter.m_target_hot_i_reg[2]_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_12__0_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_13__0_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_14__0_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_15__0_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_16__0_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_3__0_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_4__0_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_5__0_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_6__0_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_8__0_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_9__0_n_0 ; + wire \gen_no_arbiter.s_ready_i_reg[0] ; + wire \m_payload_i_reg[34] ; + wire [0:0]\m_payload_i_reg[34]_0 ; + wire [0:0]\m_payload_i_reg[34]_1 ; + wire m_valid_i; + wire match; + wire p_0_out; + wire p_0_out_inferred__9_carry_n_1; + wire p_0_out_inferred__9_carry_n_2; + wire p_0_out_inferred__9_carry_n_3; + wire p_10_out; + wire p_10_out_carry_n_1; + wire p_10_out_carry_n_2; + wire p_10_out_carry_n_3; + wire p_11_in; + wire p_12_out; + wire p_12_out_carry_n_1; + wire p_12_out_carry_n_2; + wire p_12_out_carry_n_3; + wire p_14_out; + wire p_14_out_carry_n_1; + wire p_14_out_carry_n_2; + wire p_14_out_carry_n_3; + wire p_2_out; + wire p_2_out_carry_n_1; + wire p_2_out_carry_n_2; + wire p_2_out_carry_n_3; + wire p_32_out; + wire p_4_out; + wire p_4_out_carry_n_1; + wire p_4_out_carry_n_2; + wire p_4_out_carry_n_3; + wire p_54_out; + wire p_6_out; + wire p_6_out_carry_n_1; + wire p_6_out_carry_n_2; + wire p_6_out_carry_n_3; + wire p_74_out; + wire p_8_out; + wire p_8_out_carry_n_1; + wire p_8_out_carry_n_2; + wire p_8_out_carry_n_3; + wire [11:0]s_axi_arid; + wire [0:0]s_axi_arvalid; + wire [19:0]s_axi_rdata; + wire [11:0]s_axi_rid; + wire [0:0]s_axi_rlast; + wire [0:0]s_axi_rready; + wire [0:0]s_axi_rresp; + wire [0:0]s_axi_rvalid; + wire [35:0]st_mr_rid; + wire [41:0]st_mr_rmesg; + wire [3:0]NLW_aid_match_00_carry_O_UNCONNECTED; + wire [3:0]NLW_aid_match_10_carry_O_UNCONNECTED; + wire [3:0]NLW_aid_match_20_carry_O_UNCONNECTED; + wire [3:0]NLW_aid_match_30_carry_O_UNCONNECTED; + wire [3:0]NLW_aid_match_40_carry_O_UNCONNECTED; + wire [3:0]NLW_aid_match_50_carry_O_UNCONNECTED; + wire [3:0]NLW_aid_match_60_carry_O_UNCONNECTED; + wire [3:0]NLW_aid_match_70_carry_O_UNCONNECTED; + wire [3:0]NLW_p_0_out_inferred__9_carry_O_UNCONNECTED; + wire [3:0]NLW_p_10_out_carry_O_UNCONNECTED; + wire [3:0]NLW_p_12_out_carry_O_UNCONNECTED; + wire [3:0]NLW_p_14_out_carry_O_UNCONNECTED; + wire [3:0]NLW_p_2_out_carry_O_UNCONNECTED; + wire [3:0]NLW_p_4_out_carry_O_UNCONNECTED; + wire [3:0]NLW_p_6_out_carry_O_UNCONNECTED; + wire [3:0]NLW_p_8_out_carry_O_UNCONNECTED; + + CARRY4 aid_match_00_carry + (.CI(1'b0), + .CO({aid_match_00,aid_match_00_carry_n_1,aid_match_00_carry_n_2,aid_match_00_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_aid_match_00_carry_O_UNCONNECTED[3:0]), + .S({aid_match_00_carry_i_1_n_0,aid_match_00_carry_i_2_n_0,aid_match_00_carry_i_3_n_0,aid_match_00_carry_i_4_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_00_carry_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [9]), + .I1(s_axi_arid[9]), + .I2(s_axi_arid[11]), + .I3(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [11]), + .I4(s_axi_arid[10]), + .I5(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [10]), + .O(aid_match_00_carry_i_1_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_00_carry_i_2 + (.I0(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [7]), + .I1(s_axi_arid[7]), + .I2(s_axi_arid[8]), + .I3(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [8]), + .I4(s_axi_arid[6]), + .I5(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [6]), + .O(aid_match_00_carry_i_2_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_00_carry_i_3 + (.I0(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [3]), + .I1(s_axi_arid[3]), + .I2(s_axi_arid[5]), + .I3(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [5]), + .I4(s_axi_arid[4]), + .I5(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [4]), + .O(aid_match_00_carry_i_3_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_00_carry_i_4 + (.I0(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [0]), + .I1(s_axi_arid[0]), + .I2(s_axi_arid[2]), + .I3(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [2]), + .I4(s_axi_arid[1]), + .I5(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [1]), + .O(aid_match_00_carry_i_4_n_0)); + CARRY4 aid_match_10_carry + (.CI(1'b0), + .CO({aid_match_10,aid_match_10_carry_n_1,aid_match_10_carry_n_2,aid_match_10_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_aid_match_10_carry_O_UNCONNECTED[3:0]), + .S({aid_match_10_carry_i_1_n_0,aid_match_10_carry_i_2_n_0,aid_match_10_carry_i_3_n_0,aid_match_10_carry_i_4_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_10_carry_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [10]), + .I1(s_axi_arid[10]), + .I2(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [11]), + .I3(s_axi_arid[11]), + .I4(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [9]), + .I5(s_axi_arid[9]), + .O(aid_match_10_carry_i_1_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_10_carry_i_2 + (.I0(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [6]), + .I1(s_axi_arid[6]), + .I2(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [8]), + .I3(s_axi_arid[8]), + .I4(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [7]), + .I5(s_axi_arid[7]), + .O(aid_match_10_carry_i_2_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_10_carry_i_3 + (.I0(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [4]), + .I1(s_axi_arid[4]), + .I2(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [5]), + .I3(s_axi_arid[5]), + .I4(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [3]), + .I5(s_axi_arid[3]), + .O(aid_match_10_carry_i_3_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_10_carry_i_4 + (.I0(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [0]), + .I1(s_axi_arid[0]), + .I2(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [2]), + .I3(s_axi_arid[2]), + .I4(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [1]), + .I5(s_axi_arid[1]), + .O(aid_match_10_carry_i_4_n_0)); + CARRY4 aid_match_20_carry + (.CI(1'b0), + .CO({aid_match_20,aid_match_20_carry_n_1,aid_match_20_carry_n_2,aid_match_20_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_aid_match_20_carry_O_UNCONNECTED[3:0]), + .S({aid_match_20_carry_i_1_n_0,aid_match_20_carry_i_2_n_0,aid_match_20_carry_i_3_n_0,aid_match_20_carry_i_4_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_20_carry_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [10]), + .I1(s_axi_arid[10]), + .I2(s_axi_arid[11]), + .I3(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [11]), + .I4(s_axi_arid[9]), + .I5(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [9]), + .O(aid_match_20_carry_i_1_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_20_carry_i_2 + (.I0(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [6]), + .I1(s_axi_arid[6]), + .I2(s_axi_arid[8]), + .I3(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [8]), + .I4(s_axi_arid[7]), + .I5(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [7]), + .O(aid_match_20_carry_i_2_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_20_carry_i_3 + (.I0(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [4]), + .I1(s_axi_arid[4]), + .I2(s_axi_arid[5]), + .I3(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [5]), + .I4(s_axi_arid[3]), + .I5(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [3]), + .O(aid_match_20_carry_i_3_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_20_carry_i_4 + (.I0(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [1]), + .I1(s_axi_arid[1]), + .I2(s_axi_arid[2]), + .I3(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [2]), + .I4(s_axi_arid[0]), + .I5(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [0]), + .O(aid_match_20_carry_i_4_n_0)); + CARRY4 aid_match_30_carry + (.CI(1'b0), + .CO({aid_match_30,aid_match_30_carry_n_1,aid_match_30_carry_n_2,aid_match_30_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_aid_match_30_carry_O_UNCONNECTED[3:0]), + .S({aid_match_30_carry_i_1_n_0,aid_match_30_carry_i_2_n_0,aid_match_30_carry_i_3_n_0,aid_match_30_carry_i_4_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_30_carry_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [10]), + .I1(s_axi_arid[10]), + .I2(s_axi_arid[11]), + .I3(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [11]), + .I4(s_axi_arid[9]), + .I5(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [9]), + .O(aid_match_30_carry_i_1_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_30_carry_i_2 + (.I0(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [7]), + .I1(s_axi_arid[7]), + .I2(s_axi_arid[8]), + .I3(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [8]), + .I4(s_axi_arid[6]), + .I5(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [6]), + .O(aid_match_30_carry_i_2_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_30_carry_i_3 + (.I0(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [3]), + .I1(s_axi_arid[3]), + .I2(s_axi_arid[5]), + .I3(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [5]), + .I4(s_axi_arid[4]), + .I5(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [4]), + .O(aid_match_30_carry_i_3_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_30_carry_i_4 + (.I0(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [1]), + .I1(s_axi_arid[1]), + .I2(s_axi_arid[2]), + .I3(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [2]), + .I4(s_axi_arid[0]), + .I5(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [0]), + .O(aid_match_30_carry_i_4_n_0)); + CARRY4 aid_match_40_carry + (.CI(1'b0), + .CO({aid_match_40,aid_match_40_carry_n_1,aid_match_40_carry_n_2,aid_match_40_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_aid_match_40_carry_O_UNCONNECTED[3:0]), + .S({aid_match_40_carry_i_1_n_0,aid_match_40_carry_i_2_n_0,aid_match_40_carry_i_3_n_0,aid_match_40_carry_i_4_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_40_carry_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [9]), + .I1(s_axi_arid[9]), + .I2(s_axi_arid[11]), + .I3(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [11]), + .I4(s_axi_arid[10]), + .I5(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [10]), + .O(aid_match_40_carry_i_1_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_40_carry_i_2 + (.I0(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [6]), + .I1(s_axi_arid[6]), + .I2(s_axi_arid[8]), + .I3(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [8]), + .I4(s_axi_arid[7]), + .I5(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [7]), + .O(aid_match_40_carry_i_2_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_40_carry_i_3 + (.I0(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [3]), + .I1(s_axi_arid[3]), + .I2(s_axi_arid[5]), + .I3(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [5]), + .I4(s_axi_arid[4]), + .I5(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [4]), + .O(aid_match_40_carry_i_3_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_40_carry_i_4 + (.I0(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [0]), + .I1(s_axi_arid[0]), + .I2(s_axi_arid[2]), + .I3(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [2]), + .I4(s_axi_arid[1]), + .I5(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [1]), + .O(aid_match_40_carry_i_4_n_0)); + CARRY4 aid_match_50_carry + (.CI(1'b0), + .CO({aid_match_50,aid_match_50_carry_n_1,aid_match_50_carry_n_2,aid_match_50_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_aid_match_50_carry_O_UNCONNECTED[3:0]), + .S({aid_match_50_carry_i_1_n_0,aid_match_50_carry_i_2_n_0,aid_match_50_carry_i_3_n_0,aid_match_50_carry_i_4_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_50_carry_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [9]), + .I1(s_axi_arid[9]), + .I2(s_axi_arid[11]), + .I3(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [11]), + .I4(s_axi_arid[10]), + .I5(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [10]), + .O(aid_match_50_carry_i_1_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_50_carry_i_2 + (.I0(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [6]), + .I1(s_axi_arid[6]), + .I2(s_axi_arid[8]), + .I3(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [8]), + .I4(s_axi_arid[7]), + .I5(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [7]), + .O(aid_match_50_carry_i_2_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_50_carry_i_3 + (.I0(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [3]), + .I1(s_axi_arid[3]), + .I2(s_axi_arid[5]), + .I3(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [5]), + .I4(s_axi_arid[4]), + .I5(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [4]), + .O(aid_match_50_carry_i_3_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_50_carry_i_4 + (.I0(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [0]), + .I1(s_axi_arid[0]), + .I2(s_axi_arid[2]), + .I3(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [2]), + .I4(s_axi_arid[1]), + .I5(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [1]), + .O(aid_match_50_carry_i_4_n_0)); + CARRY4 aid_match_60_carry + (.CI(1'b0), + .CO({aid_match_60,aid_match_60_carry_n_1,aid_match_60_carry_n_2,aid_match_60_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_aid_match_60_carry_O_UNCONNECTED[3:0]), + .S({aid_match_60_carry_i_1_n_0,aid_match_60_carry_i_2_n_0,aid_match_60_carry_i_3_n_0,aid_match_60_carry_i_4_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_60_carry_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [10]), + .I1(s_axi_arid[10]), + .I2(s_axi_arid[11]), + .I3(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [11]), + .I4(s_axi_arid[9]), + .I5(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [9]), + .O(aid_match_60_carry_i_1_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_60_carry_i_2 + (.I0(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [7]), + .I1(s_axi_arid[7]), + .I2(s_axi_arid[8]), + .I3(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [8]), + .I4(s_axi_arid[6]), + .I5(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [6]), + .O(aid_match_60_carry_i_2_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_60_carry_i_3 + (.I0(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [4]), + .I1(s_axi_arid[4]), + .I2(s_axi_arid[5]), + .I3(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [5]), + .I4(s_axi_arid[3]), + .I5(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [3]), + .O(aid_match_60_carry_i_3_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_60_carry_i_4 + (.I0(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [0]), + .I1(s_axi_arid[0]), + .I2(s_axi_arid[2]), + .I3(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [2]), + .I4(s_axi_arid[1]), + .I5(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [1]), + .O(aid_match_60_carry_i_4_n_0)); + CARRY4 aid_match_70_carry + (.CI(1'b0), + .CO({aid_match_70,aid_match_70_carry_n_1,aid_match_70_carry_n_2,aid_match_70_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_aid_match_70_carry_O_UNCONNECTED[3:0]), + .S({aid_match_70_carry_i_1_n_0,aid_match_70_carry_i_2_n_0,aid_match_70_carry_i_3_n_0,aid_match_70_carry_i_4_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_70_carry_i_1 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [9]), + .I1(s_axi_arid[9]), + .I2(s_axi_arid[11]), + .I3(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [11]), + .I4(s_axi_arid[10]), + .I5(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [10]), + .O(aid_match_70_carry_i_1_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_70_carry_i_2 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [6]), + .I1(s_axi_arid[6]), + .I2(s_axi_arid[8]), + .I3(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [8]), + .I4(s_axi_arid[7]), + .I5(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [7]), + .O(aid_match_70_carry_i_2_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_70_carry_i_3 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [3]), + .I1(s_axi_arid[3]), + .I2(s_axi_arid[5]), + .I3(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [5]), + .I4(s_axi_arid[4]), + .I5(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [4]), + .O(aid_match_70_carry_i_3_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_70_carry_i_4 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [1]), + .I1(s_axi_arid[1]), + .I2(s_axi_arid[2]), + .I3(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [2]), + .I4(s_axi_arid[0]), + .I5(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [0]), + .O(aid_match_70_carry_i_4_n_0)); + (* SOFT_HLUTNM = "soft_lutpair80" *) + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.accept_cnt[0]_i_1 + (.I0(\gen_multi_thread.accept_cnt_reg__0 [0]), + .O(\gen_multi_thread.accept_cnt[0]_i_1_n_0 )); + FDRE \gen_multi_thread.accept_cnt_reg[0] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_6 ), + .D(\gen_multi_thread.accept_cnt[0]_i_1_n_0 ), + .Q(\gen_multi_thread.accept_cnt_reg__0 [0]), + .R(SR)); + FDRE \gen_multi_thread.accept_cnt_reg[1] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_6 ), + .D(\gen_multi_thread.arbiter_resp_inst_n_5 ), + .Q(\gen_multi_thread.accept_cnt_reg__0 [1]), + .R(SR)); + FDRE \gen_multi_thread.accept_cnt_reg[2] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_6 ), + .D(\gen_multi_thread.arbiter_resp_inst_n_4 ), + .Q(\gen_multi_thread.accept_cnt_reg__0 [2]), + .R(SR)); + FDRE \gen_multi_thread.accept_cnt_reg[3] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_6 ), + .D(\gen_multi_thread.arbiter_resp_inst_n_3 ), + .Q(\gen_multi_thread.accept_cnt_reg__0 [3]), + .R(SR)); + system_design_xbar_1_axi_crossbar_v2_1_10_arbiter_resp_4 \gen_multi_thread.arbiter_resp_inst + (.CO(p_14_out), + .D({\gen_multi_thread.arbiter_resp_inst_n_3 ,\gen_multi_thread.arbiter_resp_inst_n_4 ,\gen_multi_thread.arbiter_resp_inst_n_5 }), + .E(\gen_multi_thread.arbiter_resp_inst_n_6 ), + .Q(\gen_multi_thread.accept_cnt_reg__0 ), + .S({\gen_multi_thread.arbiter_resp_inst_n_21 ,\gen_multi_thread.arbiter_resp_inst_n_22 ,\gen_multi_thread.arbiter_resp_inst_n_23 ,\gen_multi_thread.arbiter_resp_inst_n_24 }), + .SR(SR), + .S_AXI_ARREADY(S_AXI_ARREADY), + .aa_mi_arvalid(aa_mi_arvalid), + .aclk(aclk), + .aresetn_d(aresetn_d), + .\chosen_reg[0]_0 (chosen[0]), + .\chosen_reg[1]_0 (chosen[1]), + .\chosen_reg[2]_0 (chosen[2]), + .cmd_push_0(cmd_push_0), + .cmd_push_1(cmd_push_1), + .cmd_push_2(cmd_push_2), + .cmd_push_3(cmd_push_3), + .cmd_push_4(cmd_push_4), + .cmd_push_5(cmd_push_5), + .cmd_push_6(cmd_push_6), + .cmd_push_7(cmd_push_7), + .\gen_master_slots[2].r_issuing_cnt_reg[16] (\gen_no_arbiter.s_ready_i[0]_i_5__0_n_0 ), + .\gen_multi_thread.accept_cnt_reg[1] (\gen_no_arbiter.s_ready_i[0]_i_13__0_n_0 ), + .\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1] (\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0_n_0 ), + .\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2] (\gen_multi_thread.arbiter_resp_inst_n_7 ), + .\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] (\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 ), + .\gen_multi_thread.gen_thread_loop[0].active_target_reg[1] (\gen_no_arbiter.s_ready_i[0]_i_4__0_n_0 ), + .\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10] (\gen_multi_thread.arbiter_resp_inst_n_8 ), + .\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0 ({\gen_multi_thread.arbiter_resp_inst_n_25 ,\gen_multi_thread.arbiter_resp_inst_n_26 ,\gen_multi_thread.arbiter_resp_inst_n_27 ,\gen_multi_thread.arbiter_resp_inst_n_28 }), + .\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_1 (\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2__0_n_0 ), + .\gen_multi_thread.gen_thread_loop[1].active_id_reg[21] (p_12_out), + .\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] (\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 ), + .\gen_multi_thread.gen_thread_loop[1].active_target_reg[9] (\gen_no_arbiter.s_ready_i[0]_i_3__0_n_0 ), + .\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17] (\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4__0_n_0 ), + .\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18] (\gen_multi_thread.arbiter_resp_inst_n_9 ), + .\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0 ({\gen_multi_thread.arbiter_resp_inst_n_29 ,\gen_multi_thread.arbiter_resp_inst_n_30 ,\gen_multi_thread.arbiter_resp_inst_n_31 ,\gen_multi_thread.arbiter_resp_inst_n_32 }), + .\gen_multi_thread.gen_thread_loop[2].active_id_reg[33] (p_10_out), + .\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] (\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 ), + .\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25] (\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3_n_0 ), + .\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26] (\gen_multi_thread.arbiter_resp_inst_n_10 ), + .\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0 ({\gen_multi_thread.arbiter_resp_inst_n_33 ,\gen_multi_thread.arbiter_resp_inst_n_34 ,\gen_multi_thread.arbiter_resp_inst_n_35 ,\gen_multi_thread.arbiter_resp_inst_n_36 }), + .\gen_multi_thread.gen_thread_loop[3].active_id_reg[45] (p_8_out), + .\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] (\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 ), + .\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33] (\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3__0_n_0 ), + .\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34] (\gen_multi_thread.arbiter_resp_inst_n_11 ), + .\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0 ({\gen_multi_thread.arbiter_resp_inst_n_37 ,\gen_multi_thread.arbiter_resp_inst_n_38 ,\gen_multi_thread.arbiter_resp_inst_n_39 ,\gen_multi_thread.arbiter_resp_inst_n_40 }), + .\gen_multi_thread.gen_thread_loop[4].active_id_reg[57] (p_6_out), + .\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] (\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 ), + .\gen_multi_thread.gen_thread_loop[4].active_target_reg[33] (\gen_no_arbiter.s_ready_i[0]_i_6__0_n_0 ), + .\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41] (\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2__0_n_0 ), + .\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42] (\gen_multi_thread.arbiter_resp_inst_n_12 ), + .\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0 ({\gen_multi_thread.arbiter_resp_inst_n_41 ,\gen_multi_thread.arbiter_resp_inst_n_42 ,\gen_multi_thread.arbiter_resp_inst_n_43 ,\gen_multi_thread.arbiter_resp_inst_n_44 }), + .\gen_multi_thread.gen_thread_loop[5].active_id_reg[69] (p_4_out), + .\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] (\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 ), + .\gen_multi_thread.gen_thread_loop[5].active_target_reg[41] (\gen_no_arbiter.s_ready_i[0]_i_8__0_n_0 ), + .\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50] (\gen_multi_thread.arbiter_resp_inst_n_13 ), + .\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0 ({\gen_multi_thread.arbiter_resp_inst_n_45 ,\gen_multi_thread.arbiter_resp_inst_n_46 ,\gen_multi_thread.arbiter_resp_inst_n_47 ,\gen_multi_thread.arbiter_resp_inst_n_48 }), + .\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_1 (\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0_n_0 ), + .\gen_multi_thread.gen_thread_loop[6].active_id_reg[81] (p_2_out), + .\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] (\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] (\gen_multi_thread.arbiter_resp_inst_n_14 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 ({\gen_multi_thread.arbiter_resp_inst_n_49 ,\gen_multi_thread.arbiter_resp_inst_n_50 ,\gen_multi_thread.arbiter_resp_inst_n_51 ,\gen_multi_thread.arbiter_resp_inst_n_52 }), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 (\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3__0_n_0 ), + .\gen_multi_thread.gen_thread_loop[7].active_id_reg[93] (p_0_out), + .\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] (\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 ), + .\gen_no_arbiter.m_target_hot_i_reg[2] (\gen_no_arbiter.m_target_hot_i_reg[2] ), + .\gen_no_arbiter.m_target_hot_i_reg[2]_0 (\gen_no_arbiter.m_target_hot_i_reg[2]_0 ), + .\gen_no_arbiter.s_ready_i_reg[0] (\gen_no_arbiter.s_ready_i_reg[0] ), + .\m_payload_i_reg[34] (\m_payload_i_reg[34]_0 ), + .\m_payload_i_reg[34]_0 (Q), + .\m_payload_i_reg[34]_1 (\m_payload_i_reg[34]_1 ), + .m_valid_i(m_valid_i), + .match(match), + .p_32_out(p_32_out), + .p_54_out(p_54_out), + .p_74_out(p_74_out), + .s_axi_arvalid(s_axi_arvalid), + .s_axi_rdata(s_axi_rdata), + .s_axi_rid(s_axi_rid), + .s_axi_rlast(s_axi_rlast), + .s_axi_rready(s_axi_rready), + .s_axi_rresp(s_axi_rresp), + .s_axi_rvalid(s_axi_rvalid), + .st_mr_rid(st_mr_rid), + .st_mr_rmesg(st_mr_rmesg)); + (* SOFT_HLUTNM = "soft_lutpair87" *) + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1 + (.I0(active_cnt[0]), + .O(\gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair87" *) + LUT3 #( + .INIT(8'h69)) + \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0 + (.I0(cmd_push_0), + .I1(active_cnt[1]), + .I2(active_cnt[0]), + .O(\gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair71" *) + LUT4 #( + .INIT(16'h6AA9)) + \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0 + (.I0(active_cnt[2]), + .I1(cmd_push_0), + .I2(active_cnt[1]), + .I3(active_cnt[0]), + .O(\gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair71" *) + LUT5 #( + .INIT(32'h6AAAAAA9)) + \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0 + (.I0(active_cnt[3]), + .I1(active_cnt[2]), + .I2(active_cnt[0]), + .I3(active_cnt[1]), + .I4(cmd_push_0), + .O(\gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[0] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_7 ), + .D(\gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1_n_0 ), + .Q(active_cnt[0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_7 ), + .D(\gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0_n_0 ), + .Q(active_cnt[1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_7 ), + .D(\gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0_n_0 ), + .Q(active_cnt[2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[3] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_7 ), + .D(\gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0_n_0 ), + .Q(active_cnt[3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[0] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_arid[0]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[10] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_arid[10]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[11] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_arid[11]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [11]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[1] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_arid[1]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[2] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_arid[2]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[3] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_arid[3]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[4] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_arid[4]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [4]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[5] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_arid[5]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [5]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[6] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_arid[6]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [6]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[7] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_arid[7]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [7]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[8] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_arid[8]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[9] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_arid[9]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg__0 [9]), + .R(SR)); + LUT4 #( + .INIT(16'h2A08)) + \gen_multi_thread.gen_thread_loop[0].active_target[1]_i_1__0 + (.I0(S_AXI_ARREADY), + .I1(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0_n_0 ), + .I2(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0 ), + .I3(aid_match_00), + .O(cmd_push_0)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_target_reg[1] + (.C(aclk), + .CE(cmd_push_0), + .D(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0 ), + .Q(active_target[1]), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair68" *) + LUT4 #( + .INIT(16'h6AA9)) + \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0 + (.I0(active_cnt[10]), + .I1(active_cnt[9]), + .I2(active_cnt[8]), + .I3(cmd_push_1), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair68" *) + LUT5 #( + .INIT(32'h6AAAAAA9)) + \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0 + (.I0(active_cnt[11]), + .I1(cmd_push_1), + .I2(active_cnt[8]), + .I3(active_cnt[9]), + .I4(active_cnt[10]), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair85" *) + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1 + (.I0(active_cnt[8]), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair85" *) + LUT3 #( + .INIT(8'h69)) + \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0 + (.I0(cmd_push_1), + .I1(active_cnt[9]), + .I2(active_cnt[8]), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_8 ), + .D(\gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0_n_0 ), + .Q(active_cnt[10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[11] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_8 ), + .D(\gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0_n_0 ), + .Q(active_cnt[11]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[8] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_8 ), + .D(\gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1_n_0 ), + .Q(active_cnt[8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_8 ), + .D(\gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0_n_0 ), + .Q(active_cnt[9]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[12] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_arid[0]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[13] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_arid[1]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[14] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_arid[2]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[15] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_arid[3]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[16] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_arid[4]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [4]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[17] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_arid[5]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [5]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[18] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_arid[6]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [6]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[19] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_arid[7]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [7]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[20] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_arid[8]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[21] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_arid[9]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [9]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[22] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_arid[10]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[23] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_arid[11]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg__0 [11]), + .R(SR)); + LUT5 #( + .INIT(32'h080808A8)) + \gen_multi_thread.gen_thread_loop[1].active_target[9]_i_1__0 + (.I0(S_AXI_ARREADY), + .I1(aid_match_10), + .I2(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2__0_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0_n_0 ), + .O(cmd_push_1)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_target_reg[9] + (.C(aclk), + .CE(cmd_push_1), + .D(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0 ), + .Q(active_target[9]), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair86" *) + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1 + (.I0(active_cnt[16]), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair86" *) + LUT3 #( + .INIT(8'h69)) + \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0 + (.I0(cmd_push_2), + .I1(active_cnt[17]), + .I2(active_cnt[16]), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair76" *) + LUT4 #( + .INIT(16'h6AA9)) + \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0 + (.I0(active_cnt[18]), + .I1(active_cnt[17]), + .I2(active_cnt[16]), + .I3(cmd_push_2), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair76" *) + LUT5 #( + .INIT(32'h6AAAAAA9)) + \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0 + (.I0(active_cnt[19]), + .I1(cmd_push_2), + .I2(active_cnt[16]), + .I3(active_cnt[17]), + .I4(active_cnt[18]), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[16] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_9 ), + .D(\gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1_n_0 ), + .Q(active_cnt[16]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_9 ), + .D(\gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0_n_0 ), + .Q(active_cnt[17]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_9 ), + .D(\gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0_n_0 ), + .Q(active_cnt[18]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[19] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_9 ), + .D(\gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0_n_0 ), + .Q(active_cnt[19]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[24] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_arid[0]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[25] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_arid[1]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[26] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_arid[2]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[27] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_arid[3]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[28] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_arid[4]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [4]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[29] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_arid[5]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [5]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[30] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_arid[6]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [6]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[31] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_arid[7]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [7]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[32] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_arid[8]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[33] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_arid[9]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [9]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[34] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_arid[10]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[35] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_arid[11]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg__0 [11]), + .R(SR)); + LUT6 #( + .INIT(64'hAAAA000200000002)) + \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_1__0 + (.I0(S_AXI_ARREADY), + .I1(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0 ), + .I2(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2__0_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4__0_n_0 ), + .I5(aid_match_20), + .O(cmd_push_2)); + (* SOFT_HLUTNM = "soft_lutpair67" *) + LUT4 #( + .INIT(16'h0001)) + \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2__0 + (.I0(active_cnt[10]), + .I1(active_cnt[11]), + .I2(active_cnt[9]), + .I3(active_cnt[8]), + .O(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair64" *) + LUT4 #( + .INIT(16'h0001)) + \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0 + (.I0(active_cnt[1]), + .I1(active_cnt[0]), + .I2(active_cnt[2]), + .I3(active_cnt[3]), + .O(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair63" *) + LUT4 #( + .INIT(16'hFFFE)) + \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4__0 + (.I0(active_cnt[17]), + .I1(active_cnt[16]), + .I2(active_cnt[18]), + .I3(active_cnt[19]), + .O(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4__0_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[2].active_target_reg[17] + (.C(aclk), + .CE(cmd_push_2), + .D(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0 ), + .Q(active_target[17]), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair83" *) + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1 + (.I0(active_cnt[24]), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair83" *) + LUT3 #( + .INIT(8'h69)) + \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0 + (.I0(cmd_push_3), + .I1(active_cnt[25]), + .I2(active_cnt[24]), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair77" *) + LUT4 #( + .INIT(16'h6AA9)) + \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0 + (.I0(active_cnt[26]), + .I1(cmd_push_3), + .I2(active_cnt[25]), + .I3(active_cnt[24]), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair77" *) + LUT5 #( + .INIT(32'h6AAAAAA9)) + \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0 + (.I0(active_cnt[27]), + .I1(active_cnt[26]), + .I2(active_cnt[24]), + .I3(active_cnt[25]), + .I4(cmd_push_3), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[24] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_10 ), + .D(\gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1_n_0 ), + .Q(active_cnt[24]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_10 ), + .D(\gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0_n_0 ), + .Q(active_cnt[25]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_10 ), + .D(\gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0_n_0 ), + .Q(active_cnt[26]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[27] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_10 ), + .D(\gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0_n_0 ), + .Q(active_cnt[27]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[36] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_arid[0]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[37] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_arid[1]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[38] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_arid[2]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[39] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_arid[3]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[40] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_arid[4]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [4]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[41] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_arid[5]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [5]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[42] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_arid[6]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [6]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[43] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_arid[7]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [7]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[44] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_arid[8]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[45] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_arid[9]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [9]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[46] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_arid[10]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[47] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_arid[11]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg__0 [11]), + .R(SR)); + LUT5 #( + .INIT(32'hAA020002)) + \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_1__0 + (.I0(S_AXI_ARREADY), + .I1(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0 ), + .I2(\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2__0_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3_n_0 ), + .I4(aid_match_30), + .O(cmd_push_3)); + LUT6 #( + .INIT(64'hAAAAAAABFFFFFFFF)) + \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2__0 + (.I0(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0_n_0 ), + .I1(active_cnt[10]), + .I2(active_cnt[11]), + .I3(active_cnt[9]), + .I4(active_cnt[8]), + .I5(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4__0_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair70" *) + LUT4 #( + .INIT(16'hFFFE)) + \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3 + (.I0(active_cnt[25]), + .I1(active_cnt[24]), + .I2(active_cnt[26]), + .I3(active_cnt[27]), + .O(\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[3].active_target_reg[25] + (.C(aclk), + .CE(cmd_push_3), + .D(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0 ), + .Q(active_target[25]), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair79" *) + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1 + (.I0(active_cnt[32]), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1_n_0 )); + LUT3 #( + .INIT(8'h69)) + \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0 + (.I0(cmd_push_4), + .I1(active_cnt[33]), + .I2(active_cnt[32]), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair78" *) + LUT4 #( + .INIT(16'h6AA9)) + \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0 + (.I0(active_cnt[34]), + .I1(cmd_push_4), + .I2(active_cnt[33]), + .I3(active_cnt[32]), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair78" *) + LUT5 #( + .INIT(32'h6AAAAAA9)) + \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0 + (.I0(active_cnt[35]), + .I1(active_cnt[34]), + .I2(active_cnt[32]), + .I3(active_cnt[33]), + .I4(cmd_push_4), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[32] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_11 ), + .D(\gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1_n_0 ), + .Q(active_cnt[32]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_11 ), + .D(\gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0_n_0 ), + .Q(active_cnt[33]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_11 ), + .D(\gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0_n_0 ), + .Q(active_cnt[34]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[35] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_11 ), + .D(\gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0_n_0 ), + .Q(active_cnt[35]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[48] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_arid[0]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[49] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_arid[1]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[50] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_arid[2]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[51] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_arid[3]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[52] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_arid[4]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [4]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[53] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_arid[5]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [5]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[54] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_arid[6]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [6]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[55] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_arid[7]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [7]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[56] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_arid[8]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[57] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_arid[9]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [9]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[58] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_arid[10]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[59] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_arid[11]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg__0 [11]), + .R(SR)); + LUT5 #( + .INIT(32'hAA020002)) + \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_1__0 + (.I0(S_AXI_ARREADY), + .I1(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0 ), + .I2(\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2__0_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3__0_n_0 ), + .I4(aid_match_40), + .O(cmd_push_4)); + (* SOFT_HLUTNM = "soft_lutpair70" *) + LUT5 #( + .INIT(32'hAAAAAAAB)) + \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2__0 + (.I0(\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2__0_n_0 ), + .I1(active_cnt[27]), + .I2(active_cnt[26]), + .I3(active_cnt[24]), + .I4(active_cnt[25]), + .O(\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair65" *) + LUT4 #( + .INIT(16'hFFFE)) + \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3__0 + (.I0(active_cnt[33]), + .I1(active_cnt[32]), + .I2(active_cnt[34]), + .I3(active_cnt[35]), + .O(\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3__0_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[4].active_target_reg[33] + (.C(aclk), + .CE(cmd_push_4), + .D(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0 ), + .Q(active_target[33]), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair73" *) + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1 + (.I0(active_cnt[40]), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair81" *) + LUT3 #( + .INIT(8'h69)) + \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0 + (.I0(cmd_push_5), + .I1(active_cnt[41]), + .I2(active_cnt[40]), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair81" *) + LUT4 #( + .INIT(16'h6AA9)) + \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0 + (.I0(active_cnt[42]), + .I1(cmd_push_5), + .I2(active_cnt[41]), + .I3(active_cnt[40]), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair69" *) + LUT5 #( + .INIT(32'h6AAAAAA9)) + \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0 + (.I0(active_cnt[43]), + .I1(active_cnt[42]), + .I2(active_cnt[40]), + .I3(active_cnt[41]), + .I4(cmd_push_5), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[40] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_12 ), + .D(\gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1_n_0 ), + .Q(active_cnt[40]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_12 ), + .D(\gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0_n_0 ), + .Q(active_cnt[41]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_12 ), + .D(\gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0_n_0 ), + .Q(active_cnt[42]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[43] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_12 ), + .D(\gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0_n_0 ), + .Q(active_cnt[43]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[60] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_arid[0]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[61] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_arid[1]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[62] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_arid[2]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[63] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_arid[3]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[64] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_arid[4]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [4]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[65] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_arid[5]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [5]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[66] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_arid[6]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [6]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[67] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_arid[7]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [7]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[68] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_arid[8]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[69] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_arid[9]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [9]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[70] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_arid[10]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[71] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_arid[11]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg__0 [11]), + .R(SR)); + LUT5 #( + .INIT(32'h080808A8)) + \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_1__0 + (.I0(S_AXI_ARREADY), + .I1(aid_match_50), + .I2(\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2__0_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0 ), + .O(cmd_push_5)); + (* SOFT_HLUTNM = "soft_lutpair69" *) + LUT4 #( + .INIT(16'h0001)) + \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2__0 + (.I0(active_cnt[41]), + .I1(active_cnt[40]), + .I2(active_cnt[42]), + .I3(active_cnt[43]), + .O(\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair79" *) + LUT5 #( + .INIT(32'hAAAAAAAB)) + \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3 + (.I0(\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2__0_n_0 ), + .I1(active_cnt[35]), + .I2(active_cnt[34]), + .I3(active_cnt[32]), + .I4(active_cnt[33]), + .O(\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[5].active_target_reg[41] + (.C(aclk), + .CE(cmd_push_5), + .D(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0 ), + .Q(active_target[41]), + .R(SR)); + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1 + (.I0(active_cnt[48]), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair82" *) + LUT3 #( + .INIT(8'h69)) + \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0 + (.I0(cmd_push_6), + .I1(active_cnt[49]), + .I2(active_cnt[48]), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair82" *) + LUT4 #( + .INIT(16'h6AA9)) + \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0 + (.I0(active_cnt[50]), + .I1(active_cnt[49]), + .I2(active_cnt[48]), + .I3(cmd_push_6), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair74" *) + LUT5 #( + .INIT(32'h6AAAAAA9)) + \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0 + (.I0(active_cnt[51]), + .I1(cmd_push_6), + .I2(active_cnt[48]), + .I3(active_cnt[49]), + .I4(active_cnt[50]), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[48] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_13 ), + .D(\gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1_n_0 ), + .Q(active_cnt[48]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[49] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_13 ), + .D(\gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0_n_0 ), + .Q(active_cnt[49]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_13 ), + .D(\gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0_n_0 ), + .Q(active_cnt[50]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[51] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_13 ), + .D(\gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0_n_0 ), + .Q(active_cnt[51]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[72] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_arid[0]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[73] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_arid[1]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[74] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_arid[2]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[75] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_arid[3]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[76] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_arid[4]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [4]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[77] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_arid[5]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [5]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[78] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_arid[6]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [6]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[79] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_arid[7]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [7]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[80] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_arid[8]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[81] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_arid[9]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [9]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[82] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_arid[10]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[83] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_arid[11]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg__0 [11]), + .R(SR)); + LUT5 #( + .INIT(32'h08A80808)) + \gen_multi_thread.gen_thread_loop[6].active_target[49]_i_1__0 + (.I0(S_AXI_ARREADY), + .I1(aid_match_60), + .I2(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6__0_n_0 ), + .O(cmd_push_6)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_target_reg[49] + (.C(aclk), + .CE(cmd_push_6), + .D(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0 ), + .Q(active_target[49]), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair84" *) + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1 + (.I0(active_cnt[56]), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair84" *) + LUT3 #( + .INIT(8'h69)) + \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0 + (.I0(cmd_push_7), + .I1(active_cnt[57]), + .I2(active_cnt[56]), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair72" *) + LUT4 #( + .INIT(16'h6AA9)) + \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0 + (.I0(active_cnt[58]), + .I1(active_cnt[57]), + .I2(active_cnt[56]), + .I3(cmd_push_7), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair72" *) + LUT5 #( + .INIT(32'h6AAAAAA9)) + \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0 + (.I0(active_cnt[59]), + .I1(cmd_push_7), + .I2(active_cnt[56]), + .I3(active_cnt[57]), + .I4(active_cnt[58]), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_14 ), + .D(\gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1_n_0 ), + .Q(active_cnt[56]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[57] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_14 ), + .D(\gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0_n_0 ), + .Q(active_cnt[57]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_14 ), + .D(\gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0_n_0 ), + .Q(active_cnt[58]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[59] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_14 ), + .D(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0_n_0 ), + .Q(active_cnt[59]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[84] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_arid[0]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[85] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_arid[1]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[86] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_arid[2]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[87] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_arid[3]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[88] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_arid[4]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [4]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[89] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_arid[5]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [5]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[90] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_arid[6]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [6]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[91] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_arid[7]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [7]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[92] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_arid[8]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[93] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_arid[9]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [9]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[94] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_arid[10]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[95] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_arid[11]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg__0 [11]), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair64" *) + LUT5 #( + .INIT(32'hAAAAAAA8)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10__0 + (.I0(aid_match_00), + .I1(active_cnt[3]), + .I2(active_cnt[2]), + .I3(active_cnt[0]), + .I4(active_cnt[1]), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair66" *) + LUT5 #( + .INIT(32'hAAAAAAA8)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11__0 + (.I0(aid_match_70), + .I1(active_cnt[56]), + .I2(active_cnt[57]), + .I3(active_cnt[59]), + .I4(active_cnt[58]), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11__0_n_0 )); + LUT4 #( + .INIT(16'h4F44)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12__0 + (.I0(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2__0_n_0 ), + .I1(aid_match_10), + .I2(\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2__0_n_0 ), + .I3(aid_match_50), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12__0_n_0 )); + LUT4 #( + .INIT(16'h7077)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_13__0 + (.I0(\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3_n_0 ), + .I1(aid_match_30), + .I2(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0_n_0 ), + .I3(aid_match_60), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_13__0_n_0 )); + LUT6 #( + .INIT(64'h080808A808080808)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0 + (.I0(S_AXI_ARREADY), + .I1(aid_match_70), + .I2(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3__0_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0 ), + .I5(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6__0_n_0 ), + .O(cmd_push_7)); + (* SOFT_HLUTNM = "soft_lutpair75" *) + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0 + (.I0(match), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair66" *) + LUT4 #( + .INIT(16'h0001)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3__0 + (.I0(active_cnt[58]), + .I1(active_cnt[59]), + .I2(active_cnt[57]), + .I3(active_cnt[56]), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair74" *) + LUT4 #( + .INIT(16'h0001)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0 + (.I0(active_cnt[50]), + .I1(active_cnt[51]), + .I2(active_cnt[49]), + .I3(active_cnt[48]), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFF7FFFFFFFF)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8__0_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9__0_n_0 ), + .I2(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10__0_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11__0_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12__0_n_0 ), + .I5(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_13__0_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0 )); + LUT6 #( + .INIT(64'h00000000FFFE0000)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6__0 + (.I0(active_cnt[43]), + .I1(active_cnt[42]), + .I2(active_cnt[40]), + .I3(active_cnt[41]), + .I4(\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3__0_n_0 ), + .I5(\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2__0_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair63" *) + LUT5 #( + .INIT(32'h55555557)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8__0 + (.I0(aid_match_20), + .I1(active_cnt[19]), + .I2(active_cnt[18]), + .I3(active_cnt[16]), + .I4(active_cnt[17]), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair65" *) + LUT5 #( + .INIT(32'h55555557)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9__0 + (.I0(aid_match_40), + .I1(active_cnt[35]), + .I2(active_cnt[34]), + .I3(active_cnt[32]), + .I4(active_cnt[33]), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9__0_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[7].active_target_reg[57] + (.C(aclk), + .CE(cmd_push_7), + .D(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0 ), + .Q(active_target[57]), + .R(SR)); + LUT6 #( + .INIT(64'h00000000FFFE0000)) + \gen_no_arbiter.s_ready_i[0]_i_12__0 + (.I0(active_cnt[58]), + .I1(active_cnt[59]), + .I2(active_cnt[57]), + .I3(active_cnt[56]), + .I4(aid_match_70), + .I5(active_target[57]), + .O(\gen_no_arbiter.s_ready_i[0]_i_12__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair80" *) + LUT4 #( + .INIT(16'hFEFF)) + \gen_no_arbiter.s_ready_i[0]_i_13__0 + (.I0(\gen_multi_thread.accept_cnt_reg__0 [1]), + .I1(\gen_multi_thread.accept_cnt_reg__0 [0]), + .I2(\gen_multi_thread.accept_cnt_reg__0 [2]), + .I3(\gen_multi_thread.accept_cnt_reg__0 [3]), + .O(\gen_no_arbiter.s_ready_i[0]_i_13__0_n_0 )); + LUT6 #( + .INIT(64'h0808080808FF0808)) + \gen_no_arbiter.s_ready_i[0]_i_14__0 + (.I0(aid_match_30), + .I1(\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3_n_0 ), + .I2(active_target[25]), + .I3(active_target[49]), + .I4(aid_match_60), + .I5(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0_n_0 ), + .O(\gen_no_arbiter.s_ready_i[0]_i_14__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair73" *) + LUT5 #( + .INIT(32'hAAAAAAA8)) + \gen_no_arbiter.s_ready_i[0]_i_15__0 + (.I0(aid_match_50), + .I1(active_cnt[43]), + .I2(active_cnt[42]), + .I3(active_cnt[40]), + .I4(active_cnt[41]), + .O(\gen_no_arbiter.s_ready_i[0]_i_15__0_n_0 )); + LUT6 #( + .INIT(64'h7F7F007F7F7F7F7F)) + \gen_no_arbiter.s_ready_i[0]_i_16__0 + (.I0(active_target[25]), + .I1(aid_match_30), + .I2(\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3_n_0 ), + .I3(aid_match_60), + .I4(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0_n_0 ), + .I5(active_target[49]), + .O(\gen_no_arbiter.s_ready_i[0]_i_16__0_n_0 )); + LUT6 #( + .INIT(64'h0000707770777077)) + \gen_no_arbiter.s_ready_i[0]_i_3__0 + (.I0(active_target[9]), + .I1(\gen_no_arbiter.s_ready_i[0]_i_9__0_n_0 ), + .I2(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9__0_n_0 ), + .I3(active_target[33]), + .I4(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11__0_n_0 ), + .I5(active_target[57]), + .O(\gen_no_arbiter.s_ready_i[0]_i_3__0_n_0 )); + LUT6 #( + .INIT(64'hF8FFF8F8FFFFFFFF)) + \gen_no_arbiter.s_ready_i[0]_i_4__0 + (.I0(active_target[1]), + .I1(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10__0_n_0 ), + .I2(\gen_master_slots[0].r_issuing_cnt_reg[0] ), + .I3(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8__0_n_0 ), + .I4(active_target[17]), + .I5(match), + .O(\gen_no_arbiter.s_ready_i[0]_i_4__0_n_0 )); + LUT6 #( + .INIT(64'hDD0DDD0DDD0D0000)) + \gen_no_arbiter.s_ready_i[0]_i_5__0 + (.I0(p_11_in), + .I1(\m_payload_i_reg[34] ), + .I2(\gen_no_arbiter.s_ready_i[0]_i_9__0_n_0 ), + .I3(active_target[9]), + .I4(active_target[17]), + .I5(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8__0_n_0 ), + .O(\gen_no_arbiter.s_ready_i[0]_i_5__0_n_0 )); + LUT6 #( + .INIT(64'hFFF1FFF1FFFFFFF1)) + \gen_no_arbiter.s_ready_i[0]_i_6__0 + (.I0(active_target[33]), + .I1(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9__0_n_0 ), + .I2(match), + .I3(\gen_no_arbiter.s_ready_i[0]_i_12__0_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10__0_n_0 ), + .I5(active_target[1]), + .O(\gen_no_arbiter.s_ready_i[0]_i_6__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair75" *) + LUT5 #( + .INIT(32'hE232EEFE)) + \gen_no_arbiter.s_ready_i[0]_i_8__0 + (.I0(\gen_no_arbiter.s_ready_i[0]_i_14__0_n_0 ), + .I1(match), + .I2(\gen_no_arbiter.s_ready_i[0]_i_15__0_n_0 ), + .I3(active_target[41]), + .I4(\gen_no_arbiter.s_ready_i[0]_i_16__0_n_0 ), + .O(\gen_no_arbiter.s_ready_i[0]_i_8__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair67" *) + LUT5 #( + .INIT(32'hAAAAAAA8)) + \gen_no_arbiter.s_ready_i[0]_i_9__0 + (.I0(aid_match_10), + .I1(active_cnt[8]), + .I2(active_cnt[9]), + .I3(active_cnt[11]), + .I4(active_cnt[10]), + .O(\gen_no_arbiter.s_ready_i[0]_i_9__0_n_0 )); + CARRY4 p_0_out_inferred__9_carry + (.CI(1'b0), + .CO({p_0_out,p_0_out_inferred__9_carry_n_1,p_0_out_inferred__9_carry_n_2,p_0_out_inferred__9_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_p_0_out_inferred__9_carry_O_UNCONNECTED[3:0]), + .S({\gen_multi_thread.arbiter_resp_inst_n_49 ,\gen_multi_thread.arbiter_resp_inst_n_50 ,\gen_multi_thread.arbiter_resp_inst_n_51 ,\gen_multi_thread.arbiter_resp_inst_n_52 })); + CARRY4 p_10_out_carry + (.CI(1'b0), + .CO({p_10_out,p_10_out_carry_n_1,p_10_out_carry_n_2,p_10_out_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_p_10_out_carry_O_UNCONNECTED[3:0]), + .S({\gen_multi_thread.arbiter_resp_inst_n_29 ,\gen_multi_thread.arbiter_resp_inst_n_30 ,\gen_multi_thread.arbiter_resp_inst_n_31 ,\gen_multi_thread.arbiter_resp_inst_n_32 })); + CARRY4 p_12_out_carry + (.CI(1'b0), + .CO({p_12_out,p_12_out_carry_n_1,p_12_out_carry_n_2,p_12_out_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_p_12_out_carry_O_UNCONNECTED[3:0]), + .S({\gen_multi_thread.arbiter_resp_inst_n_25 ,\gen_multi_thread.arbiter_resp_inst_n_26 ,\gen_multi_thread.arbiter_resp_inst_n_27 ,\gen_multi_thread.arbiter_resp_inst_n_28 })); + CARRY4 p_14_out_carry + (.CI(1'b0), + .CO({p_14_out,p_14_out_carry_n_1,p_14_out_carry_n_2,p_14_out_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_p_14_out_carry_O_UNCONNECTED[3:0]), + .S({\gen_multi_thread.arbiter_resp_inst_n_21 ,\gen_multi_thread.arbiter_resp_inst_n_22 ,\gen_multi_thread.arbiter_resp_inst_n_23 ,\gen_multi_thread.arbiter_resp_inst_n_24 })); + CARRY4 p_2_out_carry + (.CI(1'b0), + .CO({p_2_out,p_2_out_carry_n_1,p_2_out_carry_n_2,p_2_out_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_p_2_out_carry_O_UNCONNECTED[3:0]), + .S({\gen_multi_thread.arbiter_resp_inst_n_45 ,\gen_multi_thread.arbiter_resp_inst_n_46 ,\gen_multi_thread.arbiter_resp_inst_n_47 ,\gen_multi_thread.arbiter_resp_inst_n_48 })); + CARRY4 p_4_out_carry + (.CI(1'b0), + .CO({p_4_out,p_4_out_carry_n_1,p_4_out_carry_n_2,p_4_out_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_p_4_out_carry_O_UNCONNECTED[3:0]), + .S({\gen_multi_thread.arbiter_resp_inst_n_41 ,\gen_multi_thread.arbiter_resp_inst_n_42 ,\gen_multi_thread.arbiter_resp_inst_n_43 ,\gen_multi_thread.arbiter_resp_inst_n_44 })); + CARRY4 p_6_out_carry + (.CI(1'b0), + .CO({p_6_out,p_6_out_carry_n_1,p_6_out_carry_n_2,p_6_out_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_p_6_out_carry_O_UNCONNECTED[3:0]), + .S({\gen_multi_thread.arbiter_resp_inst_n_37 ,\gen_multi_thread.arbiter_resp_inst_n_38 ,\gen_multi_thread.arbiter_resp_inst_n_39 ,\gen_multi_thread.arbiter_resp_inst_n_40 })); + CARRY4 p_8_out_carry + (.CI(1'b0), + .CO({p_8_out,p_8_out_carry_n_1,p_8_out_carry_n_2,p_8_out_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_p_8_out_carry_O_UNCONNECTED[3:0]), + .S({\gen_multi_thread.arbiter_resp_inst_n_33 ,\gen_multi_thread.arbiter_resp_inst_n_34 ,\gen_multi_thread.arbiter_resp_inst_n_35 ,\gen_multi_thread.arbiter_resp_inst_n_36 })); +endmodule + +(* ORIG_REF_NAME = "axi_crossbar_v2_1_10_si_transactor" *) +module system_design_xbar_1_axi_crossbar_v2_1_10_si_transactor__parameterized0 + (SR, + \gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0 , + \gen_no_arbiter.s_ready_i_reg[0] , + \gen_no_arbiter.m_target_hot_i_reg[2] , + \gen_no_arbiter.m_target_hot_i_reg[2]_0 , + \gen_no_arbiter.m_target_hot_i_reg[2]_1 , + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 , + chosen, + s_axi_bvalid, + s_axi_bid, + \gen_no_arbiter.m_valid_i_reg , + aclk, + match, + aresetn_d, + aa_mi_awtarget_hot, + \s_axi_awaddr[25] , + \gen_no_arbiter.s_ready_i_reg[0]_0 , + \gen_no_arbiter.s_ready_i_reg[0]_1 , + m_valid_i_reg, + w_issuing_cnt, + p_38_out, + p_80_out, + s_axi_bready, + p_60_out, + \m_payload_i_reg[3] , + st_mr_bid, + \m_payload_i_reg[5] , + \m_payload_i_reg[7] , + \m_payload_i_reg[8] , + \m_payload_i_reg[10] , + \m_payload_i_reg[11] , + s_axi_awid, + aa_sa_awvalid, + \m_ready_d_reg[1] ); + output [0:0]SR; + output \gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0 ; + output \gen_no_arbiter.s_ready_i_reg[0] ; + output \gen_no_arbiter.m_target_hot_i_reg[2] ; + output \gen_no_arbiter.m_target_hot_i_reg[2]_0 ; + output \gen_no_arbiter.m_target_hot_i_reg[2]_1 ; + output \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 ; + output [2:0]chosen; + output [0:0]s_axi_bvalid; + output [5:0]s_axi_bid; + output \gen_no_arbiter.m_valid_i_reg ; + input aclk; + input match; + input aresetn_d; + input [0:0]aa_mi_awtarget_hot; + input \s_axi_awaddr[25] ; + input \gen_no_arbiter.s_ready_i_reg[0]_0 ; + input \gen_no_arbiter.s_ready_i_reg[0]_1 ; + input m_valid_i_reg; + input [2:0]w_issuing_cnt; + input p_38_out; + input p_80_out; + input [0:0]s_axi_bready; + input p_60_out; + input \m_payload_i_reg[3] ; + input [17:0]st_mr_bid; + input \m_payload_i_reg[5] ; + input \m_payload_i_reg[7] ; + input \m_payload_i_reg[8] ; + input \m_payload_i_reg[10] ; + input \m_payload_i_reg[11] ; + input [11:0]s_axi_awid; + input aa_sa_awvalid; + input \m_ready_d_reg[1] ; + + wire [0:0]SR; + wire [0:0]aa_mi_awtarget_hot; + wire aa_sa_awvalid; + wire aclk; + wire [59:0]active_cnt; + wire [57:1]active_target; + wire aid_match_00; + wire aid_match_00_carry_i_1__0_n_0; + wire aid_match_00_carry_i_2__0_n_0; + wire aid_match_00_carry_i_3__0_n_0; + wire aid_match_00_carry_i_4__0_n_0; + wire aid_match_00_carry_n_1; + wire aid_match_00_carry_n_2; + wire aid_match_00_carry_n_3; + wire aid_match_10; + wire aid_match_10_carry_i_1__0_n_0; + wire aid_match_10_carry_i_2__0_n_0; + wire aid_match_10_carry_i_3__0_n_0; + wire aid_match_10_carry_i_4__0_n_0; + wire aid_match_10_carry_n_1; + wire aid_match_10_carry_n_2; + wire aid_match_10_carry_n_3; + wire aid_match_20; + wire aid_match_20_carry_i_1__0_n_0; + wire aid_match_20_carry_i_2__0_n_0; + wire aid_match_20_carry_i_3__0_n_0; + wire aid_match_20_carry_i_4__0_n_0; + wire aid_match_20_carry_n_1; + wire aid_match_20_carry_n_2; + wire aid_match_20_carry_n_3; + wire aid_match_30; + wire aid_match_30_carry_i_1__0_n_0; + wire aid_match_30_carry_i_2__0_n_0; + wire aid_match_30_carry_i_3__0_n_0; + wire aid_match_30_carry_i_4__0_n_0; + wire aid_match_30_carry_n_1; + wire aid_match_30_carry_n_2; + wire aid_match_30_carry_n_3; + wire aid_match_40; + wire aid_match_40_carry_i_1__0_n_0; + wire aid_match_40_carry_i_2__0_n_0; + wire aid_match_40_carry_i_3__0_n_0; + wire aid_match_40_carry_i_4__0_n_0; + wire aid_match_40_carry_n_1; + wire aid_match_40_carry_n_2; + wire aid_match_40_carry_n_3; + wire aid_match_50; + wire aid_match_50_carry_i_1__0_n_0; + wire aid_match_50_carry_i_2__0_n_0; + wire aid_match_50_carry_i_3__0_n_0; + wire aid_match_50_carry_i_4__0_n_0; + wire aid_match_50_carry_n_1; + wire aid_match_50_carry_n_2; + wire aid_match_50_carry_n_3; + wire aid_match_60; + wire aid_match_60_carry_i_1__0_n_0; + wire aid_match_60_carry_i_2__0_n_0; + wire aid_match_60_carry_i_3__0_n_0; + wire aid_match_60_carry_i_4__0_n_0; + wire aid_match_60_carry_n_1; + wire aid_match_60_carry_n_2; + wire aid_match_60_carry_n_3; + wire aid_match_70; + wire aid_match_70_carry_i_1__0_n_0; + wire aid_match_70_carry_i_2__0_n_0; + wire aid_match_70_carry_i_3__0_n_0; + wire aid_match_70_carry_i_4__0_n_0; + wire aid_match_70_carry_n_1; + wire aid_match_70_carry_n_2; + wire aid_match_70_carry_n_3; + wire aresetn_d; + wire [2:0]chosen; + wire cmd_push_0; + wire cmd_push_1; + wire cmd_push_2; + wire cmd_push_3; + wire cmd_push_4; + wire cmd_push_5; + wire cmd_push_6; + wire cmd_push_7; + wire \gen_multi_thread.accept_cnt[0]_i_1__0_n_0 ; + wire [3:0]\gen_multi_thread.accept_cnt_reg ; + wire \gen_multi_thread.arbiter_resp_inst_n_10 ; + wire \gen_multi_thread.arbiter_resp_inst_n_11 ; + wire \gen_multi_thread.arbiter_resp_inst_n_12 ; + wire \gen_multi_thread.arbiter_resp_inst_n_13 ; + wire \gen_multi_thread.arbiter_resp_inst_n_14 ; + wire \gen_multi_thread.arbiter_resp_inst_n_15 ; + wire \gen_multi_thread.arbiter_resp_inst_n_16 ; + wire \gen_multi_thread.arbiter_resp_inst_n_22 ; + wire \gen_multi_thread.arbiter_resp_inst_n_23 ; + wire \gen_multi_thread.arbiter_resp_inst_n_24 ; + wire \gen_multi_thread.arbiter_resp_inst_n_25 ; + wire \gen_multi_thread.arbiter_resp_inst_n_26 ; + wire \gen_multi_thread.arbiter_resp_inst_n_27 ; + wire \gen_multi_thread.arbiter_resp_inst_n_28 ; + wire \gen_multi_thread.arbiter_resp_inst_n_29 ; + wire \gen_multi_thread.arbiter_resp_inst_n_30 ; + wire \gen_multi_thread.arbiter_resp_inst_n_31 ; + wire \gen_multi_thread.arbiter_resp_inst_n_32 ; + wire \gen_multi_thread.arbiter_resp_inst_n_33 ; + wire \gen_multi_thread.arbiter_resp_inst_n_34 ; + wire \gen_multi_thread.arbiter_resp_inst_n_35 ; + wire \gen_multi_thread.arbiter_resp_inst_n_36 ; + wire \gen_multi_thread.arbiter_resp_inst_n_37 ; + wire \gen_multi_thread.arbiter_resp_inst_n_38 ; + wire \gen_multi_thread.arbiter_resp_inst_n_39 ; + wire \gen_multi_thread.arbiter_resp_inst_n_40 ; + wire \gen_multi_thread.arbiter_resp_inst_n_41 ; + wire \gen_multi_thread.arbiter_resp_inst_n_42 ; + wire \gen_multi_thread.arbiter_resp_inst_n_43 ; + wire \gen_multi_thread.arbiter_resp_inst_n_44 ; + wire \gen_multi_thread.arbiter_resp_inst_n_45 ; + wire \gen_multi_thread.arbiter_resp_inst_n_46 ; + wire \gen_multi_thread.arbiter_resp_inst_n_47 ; + wire \gen_multi_thread.arbiter_resp_inst_n_48 ; + wire \gen_multi_thread.arbiter_resp_inst_n_49 ; + wire \gen_multi_thread.arbiter_resp_inst_n_5 ; + wire \gen_multi_thread.arbiter_resp_inst_n_50 ; + wire \gen_multi_thread.arbiter_resp_inst_n_51 ; + wire \gen_multi_thread.arbiter_resp_inst_n_52 ; + wire \gen_multi_thread.arbiter_resp_inst_n_53 ; + wire \gen_multi_thread.arbiter_resp_inst_n_6 ; + wire \gen_multi_thread.arbiter_resp_inst_n_7 ; + wire \gen_multi_thread.arbiter_resp_inst_n_8 ; + wire \gen_multi_thread.arbiter_resp_inst_n_9 ; + wire \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2_n_0 ; + wire \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[0].active_id_reg ; + wire \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2_n_0 ; + wire \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1_n_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[1].active_id_reg ; + wire \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2_n_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[2].active_id_reg ; + wire \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0 ; + wire \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3_n_0 ; + wire \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4_n_0 ; + wire \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2_n_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[3].active_id_reg ; + wire \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2_n_0 ; + wire \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2_n_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[4].active_id_reg ; + wire \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2_n_0 ; + wire \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3_n_0 ; + wire \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2_n_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[5].active_id_reg ; + wire \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2_n_0 ; + wire \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2_n_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[6].active_id_reg ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2_n_0 ; + wire [11:0]\gen_multi_thread.gen_thread_loop[7].active_id_reg ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_13_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9_n_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0 ; + wire \gen_no_arbiter.m_target_hot_i_reg[2] ; + wire \gen_no_arbiter.m_target_hot_i_reg[2]_0 ; + wire \gen_no_arbiter.m_target_hot_i_reg[2]_1 ; + wire \gen_no_arbiter.m_valid_i_reg ; + wire \gen_no_arbiter.s_ready_i[0]_i_13_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_14_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_16_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_2_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_7_n_0 ; + wire \gen_no_arbiter.s_ready_i[0]_i_8_n_0 ; + wire \gen_no_arbiter.s_ready_i_reg[0] ; + wire \gen_no_arbiter.s_ready_i_reg[0]_0 ; + wire \gen_no_arbiter.s_ready_i_reg[0]_1 ; + wire \m_payload_i_reg[10] ; + wire \m_payload_i_reg[11] ; + wire \m_payload_i_reg[3] ; + wire \m_payload_i_reg[5] ; + wire \m_payload_i_reg[7] ; + wire \m_payload_i_reg[8] ; + wire \m_ready_d_reg[1] ; + wire m_valid_i_reg; + wire match; + wire p_0_out; + wire p_0_out_inferred__9_carry_n_1; + wire p_0_out_inferred__9_carry_n_2; + wire p_0_out_inferred__9_carry_n_3; + wire p_10_out; + wire p_10_out_carry_n_1; + wire p_10_out_carry_n_2; + wire p_10_out_carry_n_3; + wire p_12_out; + wire p_12_out_carry_n_1; + wire p_12_out_carry_n_2; + wire p_12_out_carry_n_3; + wire p_14_out; + wire p_14_out_carry_n_1; + wire p_14_out_carry_n_2; + wire p_14_out_carry_n_3; + wire p_2_out; + wire p_2_out_carry_n_1; + wire p_2_out_carry_n_2; + wire p_2_out_carry_n_3; + wire p_38_out; + wire p_4_out; + wire p_4_out_carry_n_1; + wire p_4_out_carry_n_2; + wire p_4_out_carry_n_3; + wire p_60_out; + wire p_6_out; + wire p_6_out_carry_n_1; + wire p_6_out_carry_n_2; + wire p_6_out_carry_n_3; + wire p_80_out; + wire p_8_out; + wire p_8_out_carry_n_1; + wire p_8_out_carry_n_2; + wire p_8_out_carry_n_3; + wire \s_axi_awaddr[25] ; + wire [11:0]s_axi_awid; + wire [5:0]s_axi_bid; + wire [0:0]s_axi_bready; + wire [0:0]s_axi_bvalid; + wire [17:0]st_mr_bid; + wire [2:0]w_issuing_cnt; + wire [3:0]NLW_aid_match_00_carry_O_UNCONNECTED; + wire [3:0]NLW_aid_match_10_carry_O_UNCONNECTED; + wire [3:0]NLW_aid_match_20_carry_O_UNCONNECTED; + wire [3:0]NLW_aid_match_30_carry_O_UNCONNECTED; + wire [3:0]NLW_aid_match_40_carry_O_UNCONNECTED; + wire [3:0]NLW_aid_match_50_carry_O_UNCONNECTED; + wire [3:0]NLW_aid_match_60_carry_O_UNCONNECTED; + wire [3:0]NLW_aid_match_70_carry_O_UNCONNECTED; + wire [3:0]NLW_p_0_out_inferred__9_carry_O_UNCONNECTED; + wire [3:0]NLW_p_10_out_carry_O_UNCONNECTED; + wire [3:0]NLW_p_12_out_carry_O_UNCONNECTED; + wire [3:0]NLW_p_14_out_carry_O_UNCONNECTED; + wire [3:0]NLW_p_2_out_carry_O_UNCONNECTED; + wire [3:0]NLW_p_4_out_carry_O_UNCONNECTED; + wire [3:0]NLW_p_6_out_carry_O_UNCONNECTED; + wire [3:0]NLW_p_8_out_carry_O_UNCONNECTED; + + CARRY4 aid_match_00_carry + (.CI(1'b0), + .CO({aid_match_00,aid_match_00_carry_n_1,aid_match_00_carry_n_2,aid_match_00_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_aid_match_00_carry_O_UNCONNECTED[3:0]), + .S({aid_match_00_carry_i_1__0_n_0,aid_match_00_carry_i_2__0_n_0,aid_match_00_carry_i_3__0_n_0,aid_match_00_carry_i_4__0_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_00_carry_i_1__0 + (.I0(\gen_multi_thread.gen_thread_loop[0].active_id_reg [9]), + .I1(s_axi_awid[9]), + .I2(s_axi_awid[11]), + .I3(\gen_multi_thread.gen_thread_loop[0].active_id_reg [11]), + .I4(s_axi_awid[10]), + .I5(\gen_multi_thread.gen_thread_loop[0].active_id_reg [10]), + .O(aid_match_00_carry_i_1__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_00_carry_i_2__0 + (.I0(\gen_multi_thread.gen_thread_loop[0].active_id_reg [6]), + .I1(s_axi_awid[6]), + .I2(s_axi_awid[8]), + .I3(\gen_multi_thread.gen_thread_loop[0].active_id_reg [8]), + .I4(s_axi_awid[7]), + .I5(\gen_multi_thread.gen_thread_loop[0].active_id_reg [7]), + .O(aid_match_00_carry_i_2__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_00_carry_i_3__0 + (.I0(\gen_multi_thread.gen_thread_loop[0].active_id_reg [3]), + .I1(s_axi_awid[3]), + .I2(s_axi_awid[5]), + .I3(\gen_multi_thread.gen_thread_loop[0].active_id_reg [5]), + .I4(s_axi_awid[4]), + .I5(\gen_multi_thread.gen_thread_loop[0].active_id_reg [4]), + .O(aid_match_00_carry_i_3__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_00_carry_i_4__0 + (.I0(\gen_multi_thread.gen_thread_loop[0].active_id_reg [0]), + .I1(s_axi_awid[0]), + .I2(s_axi_awid[2]), + .I3(\gen_multi_thread.gen_thread_loop[0].active_id_reg [2]), + .I4(s_axi_awid[1]), + .I5(\gen_multi_thread.gen_thread_loop[0].active_id_reg [1]), + .O(aid_match_00_carry_i_4__0_n_0)); + CARRY4 aid_match_10_carry + (.CI(1'b0), + .CO({aid_match_10,aid_match_10_carry_n_1,aid_match_10_carry_n_2,aid_match_10_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_aid_match_10_carry_O_UNCONNECTED[3:0]), + .S({aid_match_10_carry_i_1__0_n_0,aid_match_10_carry_i_2__0_n_0,aid_match_10_carry_i_3__0_n_0,aid_match_10_carry_i_4__0_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_10_carry_i_1__0 + (.I0(\gen_multi_thread.gen_thread_loop[1].active_id_reg [9]), + .I1(s_axi_awid[9]), + .I2(\gen_multi_thread.gen_thread_loop[1].active_id_reg [11]), + .I3(s_axi_awid[11]), + .I4(\gen_multi_thread.gen_thread_loop[1].active_id_reg [10]), + .I5(s_axi_awid[10]), + .O(aid_match_10_carry_i_1__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_10_carry_i_2__0 + (.I0(\gen_multi_thread.gen_thread_loop[1].active_id_reg [7]), + .I1(s_axi_awid[7]), + .I2(\gen_multi_thread.gen_thread_loop[1].active_id_reg [8]), + .I3(s_axi_awid[8]), + .I4(\gen_multi_thread.gen_thread_loop[1].active_id_reg [6]), + .I5(s_axi_awid[6]), + .O(aid_match_10_carry_i_2__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_10_carry_i_3__0 + (.I0(\gen_multi_thread.gen_thread_loop[1].active_id_reg [4]), + .I1(s_axi_awid[4]), + .I2(\gen_multi_thread.gen_thread_loop[1].active_id_reg [5]), + .I3(s_axi_awid[5]), + .I4(\gen_multi_thread.gen_thread_loop[1].active_id_reg [3]), + .I5(s_axi_awid[3]), + .O(aid_match_10_carry_i_3__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_10_carry_i_4__0 + (.I0(\gen_multi_thread.gen_thread_loop[1].active_id_reg [0]), + .I1(s_axi_awid[0]), + .I2(\gen_multi_thread.gen_thread_loop[1].active_id_reg [2]), + .I3(s_axi_awid[2]), + .I4(\gen_multi_thread.gen_thread_loop[1].active_id_reg [1]), + .I5(s_axi_awid[1]), + .O(aid_match_10_carry_i_4__0_n_0)); + CARRY4 aid_match_20_carry + (.CI(1'b0), + .CO({aid_match_20,aid_match_20_carry_n_1,aid_match_20_carry_n_2,aid_match_20_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_aid_match_20_carry_O_UNCONNECTED[3:0]), + .S({aid_match_20_carry_i_1__0_n_0,aid_match_20_carry_i_2__0_n_0,aid_match_20_carry_i_3__0_n_0,aid_match_20_carry_i_4__0_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_20_carry_i_1__0 + (.I0(\gen_multi_thread.gen_thread_loop[2].active_id_reg [9]), + .I1(s_axi_awid[9]), + .I2(s_axi_awid[11]), + .I3(\gen_multi_thread.gen_thread_loop[2].active_id_reg [11]), + .I4(s_axi_awid[10]), + .I5(\gen_multi_thread.gen_thread_loop[2].active_id_reg [10]), + .O(aid_match_20_carry_i_1__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_20_carry_i_2__0 + (.I0(\gen_multi_thread.gen_thread_loop[2].active_id_reg [7]), + .I1(s_axi_awid[7]), + .I2(s_axi_awid[8]), + .I3(\gen_multi_thread.gen_thread_loop[2].active_id_reg [8]), + .I4(s_axi_awid[6]), + .I5(\gen_multi_thread.gen_thread_loop[2].active_id_reg [6]), + .O(aid_match_20_carry_i_2__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_20_carry_i_3__0 + (.I0(\gen_multi_thread.gen_thread_loop[2].active_id_reg [4]), + .I1(s_axi_awid[4]), + .I2(s_axi_awid[5]), + .I3(\gen_multi_thread.gen_thread_loop[2].active_id_reg [5]), + .I4(s_axi_awid[3]), + .I5(\gen_multi_thread.gen_thread_loop[2].active_id_reg [3]), + .O(aid_match_20_carry_i_3__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_20_carry_i_4__0 + (.I0(\gen_multi_thread.gen_thread_loop[2].active_id_reg [1]), + .I1(s_axi_awid[1]), + .I2(s_axi_awid[2]), + .I3(\gen_multi_thread.gen_thread_loop[2].active_id_reg [2]), + .I4(s_axi_awid[0]), + .I5(\gen_multi_thread.gen_thread_loop[2].active_id_reg [0]), + .O(aid_match_20_carry_i_4__0_n_0)); + CARRY4 aid_match_30_carry + (.CI(1'b0), + .CO({aid_match_30,aid_match_30_carry_n_1,aid_match_30_carry_n_2,aid_match_30_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_aid_match_30_carry_O_UNCONNECTED[3:0]), + .S({aid_match_30_carry_i_1__0_n_0,aid_match_30_carry_i_2__0_n_0,aid_match_30_carry_i_3__0_n_0,aid_match_30_carry_i_4__0_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_30_carry_i_1__0 + (.I0(\gen_multi_thread.gen_thread_loop[3].active_id_reg [9]), + .I1(s_axi_awid[9]), + .I2(s_axi_awid[11]), + .I3(\gen_multi_thread.gen_thread_loop[3].active_id_reg [11]), + .I4(s_axi_awid[10]), + .I5(\gen_multi_thread.gen_thread_loop[3].active_id_reg [10]), + .O(aid_match_30_carry_i_1__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_30_carry_i_2__0 + (.I0(\gen_multi_thread.gen_thread_loop[3].active_id_reg [6]), + .I1(s_axi_awid[6]), + .I2(s_axi_awid[8]), + .I3(\gen_multi_thread.gen_thread_loop[3].active_id_reg [8]), + .I4(s_axi_awid[7]), + .I5(\gen_multi_thread.gen_thread_loop[3].active_id_reg [7]), + .O(aid_match_30_carry_i_2__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_30_carry_i_3__0 + (.I0(\gen_multi_thread.gen_thread_loop[3].active_id_reg [3]), + .I1(s_axi_awid[3]), + .I2(s_axi_awid[5]), + .I3(\gen_multi_thread.gen_thread_loop[3].active_id_reg [5]), + .I4(s_axi_awid[4]), + .I5(\gen_multi_thread.gen_thread_loop[3].active_id_reg [4]), + .O(aid_match_30_carry_i_3__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_30_carry_i_4__0 + (.I0(\gen_multi_thread.gen_thread_loop[3].active_id_reg [1]), + .I1(s_axi_awid[1]), + .I2(s_axi_awid[2]), + .I3(\gen_multi_thread.gen_thread_loop[3].active_id_reg [2]), + .I4(s_axi_awid[0]), + .I5(\gen_multi_thread.gen_thread_loop[3].active_id_reg [0]), + .O(aid_match_30_carry_i_4__0_n_0)); + CARRY4 aid_match_40_carry + (.CI(1'b0), + .CO({aid_match_40,aid_match_40_carry_n_1,aid_match_40_carry_n_2,aid_match_40_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_aid_match_40_carry_O_UNCONNECTED[3:0]), + .S({aid_match_40_carry_i_1__0_n_0,aid_match_40_carry_i_2__0_n_0,aid_match_40_carry_i_3__0_n_0,aid_match_40_carry_i_4__0_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_40_carry_i_1__0 + (.I0(\gen_multi_thread.gen_thread_loop[4].active_id_reg [9]), + .I1(s_axi_awid[9]), + .I2(s_axi_awid[11]), + .I3(\gen_multi_thread.gen_thread_loop[4].active_id_reg [11]), + .I4(s_axi_awid[10]), + .I5(\gen_multi_thread.gen_thread_loop[4].active_id_reg [10]), + .O(aid_match_40_carry_i_1__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_40_carry_i_2__0 + (.I0(\gen_multi_thread.gen_thread_loop[4].active_id_reg [6]), + .I1(s_axi_awid[6]), + .I2(s_axi_awid[8]), + .I3(\gen_multi_thread.gen_thread_loop[4].active_id_reg [8]), + .I4(s_axi_awid[7]), + .I5(\gen_multi_thread.gen_thread_loop[4].active_id_reg [7]), + .O(aid_match_40_carry_i_2__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_40_carry_i_3__0 + (.I0(\gen_multi_thread.gen_thread_loop[4].active_id_reg [4]), + .I1(s_axi_awid[4]), + .I2(s_axi_awid[5]), + .I3(\gen_multi_thread.gen_thread_loop[4].active_id_reg [5]), + .I4(s_axi_awid[3]), + .I5(\gen_multi_thread.gen_thread_loop[4].active_id_reg [3]), + .O(aid_match_40_carry_i_3__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_40_carry_i_4__0 + (.I0(\gen_multi_thread.gen_thread_loop[4].active_id_reg [1]), + .I1(s_axi_awid[1]), + .I2(s_axi_awid[2]), + .I3(\gen_multi_thread.gen_thread_loop[4].active_id_reg [2]), + .I4(s_axi_awid[0]), + .I5(\gen_multi_thread.gen_thread_loop[4].active_id_reg [0]), + .O(aid_match_40_carry_i_4__0_n_0)); + CARRY4 aid_match_50_carry + (.CI(1'b0), + .CO({aid_match_50,aid_match_50_carry_n_1,aid_match_50_carry_n_2,aid_match_50_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_aid_match_50_carry_O_UNCONNECTED[3:0]), + .S({aid_match_50_carry_i_1__0_n_0,aid_match_50_carry_i_2__0_n_0,aid_match_50_carry_i_3__0_n_0,aid_match_50_carry_i_4__0_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_50_carry_i_1__0 + (.I0(\gen_multi_thread.gen_thread_loop[5].active_id_reg [10]), + .I1(s_axi_awid[10]), + .I2(s_axi_awid[11]), + .I3(\gen_multi_thread.gen_thread_loop[5].active_id_reg [11]), + .I4(s_axi_awid[9]), + .I5(\gen_multi_thread.gen_thread_loop[5].active_id_reg [9]), + .O(aid_match_50_carry_i_1__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_50_carry_i_2__0 + (.I0(\gen_multi_thread.gen_thread_loop[5].active_id_reg [7]), + .I1(s_axi_awid[7]), + .I2(s_axi_awid[8]), + .I3(\gen_multi_thread.gen_thread_loop[5].active_id_reg [8]), + .I4(s_axi_awid[6]), + .I5(\gen_multi_thread.gen_thread_loop[5].active_id_reg [6]), + .O(aid_match_50_carry_i_2__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_50_carry_i_3__0 + (.I0(\gen_multi_thread.gen_thread_loop[5].active_id_reg [3]), + .I1(s_axi_awid[3]), + .I2(s_axi_awid[5]), + .I3(\gen_multi_thread.gen_thread_loop[5].active_id_reg [5]), + .I4(s_axi_awid[4]), + .I5(\gen_multi_thread.gen_thread_loop[5].active_id_reg [4]), + .O(aid_match_50_carry_i_3__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_50_carry_i_4__0 + (.I0(\gen_multi_thread.gen_thread_loop[5].active_id_reg [0]), + .I1(s_axi_awid[0]), + .I2(s_axi_awid[2]), + .I3(\gen_multi_thread.gen_thread_loop[5].active_id_reg [2]), + .I4(s_axi_awid[1]), + .I5(\gen_multi_thread.gen_thread_loop[5].active_id_reg [1]), + .O(aid_match_50_carry_i_4__0_n_0)); + CARRY4 aid_match_60_carry + (.CI(1'b0), + .CO({aid_match_60,aid_match_60_carry_n_1,aid_match_60_carry_n_2,aid_match_60_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_aid_match_60_carry_O_UNCONNECTED[3:0]), + .S({aid_match_60_carry_i_1__0_n_0,aid_match_60_carry_i_2__0_n_0,aid_match_60_carry_i_3__0_n_0,aid_match_60_carry_i_4__0_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_60_carry_i_1__0 + (.I0(\gen_multi_thread.gen_thread_loop[6].active_id_reg [9]), + .I1(s_axi_awid[9]), + .I2(s_axi_awid[11]), + .I3(\gen_multi_thread.gen_thread_loop[6].active_id_reg [11]), + .I4(s_axi_awid[10]), + .I5(\gen_multi_thread.gen_thread_loop[6].active_id_reg [10]), + .O(aid_match_60_carry_i_1__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_60_carry_i_2__0 + (.I0(\gen_multi_thread.gen_thread_loop[6].active_id_reg [7]), + .I1(s_axi_awid[7]), + .I2(s_axi_awid[8]), + .I3(\gen_multi_thread.gen_thread_loop[6].active_id_reg [8]), + .I4(s_axi_awid[6]), + .I5(\gen_multi_thread.gen_thread_loop[6].active_id_reg [6]), + .O(aid_match_60_carry_i_2__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_60_carry_i_3__0 + (.I0(\gen_multi_thread.gen_thread_loop[6].active_id_reg [4]), + .I1(s_axi_awid[4]), + .I2(s_axi_awid[5]), + .I3(\gen_multi_thread.gen_thread_loop[6].active_id_reg [5]), + .I4(s_axi_awid[3]), + .I5(\gen_multi_thread.gen_thread_loop[6].active_id_reg [3]), + .O(aid_match_60_carry_i_3__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_60_carry_i_4__0 + (.I0(\gen_multi_thread.gen_thread_loop[6].active_id_reg [0]), + .I1(s_axi_awid[0]), + .I2(s_axi_awid[2]), + .I3(\gen_multi_thread.gen_thread_loop[6].active_id_reg [2]), + .I4(s_axi_awid[1]), + .I5(\gen_multi_thread.gen_thread_loop[6].active_id_reg [1]), + .O(aid_match_60_carry_i_4__0_n_0)); + CARRY4 aid_match_70_carry + (.CI(1'b0), + .CO({aid_match_70,aid_match_70_carry_n_1,aid_match_70_carry_n_2,aid_match_70_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_aid_match_70_carry_O_UNCONNECTED[3:0]), + .S({aid_match_70_carry_i_1__0_n_0,aid_match_70_carry_i_2__0_n_0,aid_match_70_carry_i_3__0_n_0,aid_match_70_carry_i_4__0_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_70_carry_i_1__0 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_id_reg [10]), + .I1(s_axi_awid[10]), + .I2(s_axi_awid[11]), + .I3(\gen_multi_thread.gen_thread_loop[7].active_id_reg [11]), + .I4(s_axi_awid[9]), + .I5(\gen_multi_thread.gen_thread_loop[7].active_id_reg [9]), + .O(aid_match_70_carry_i_1__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_70_carry_i_2__0 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_id_reg [6]), + .I1(s_axi_awid[6]), + .I2(s_axi_awid[8]), + .I3(\gen_multi_thread.gen_thread_loop[7].active_id_reg [8]), + .I4(s_axi_awid[7]), + .I5(\gen_multi_thread.gen_thread_loop[7].active_id_reg [7]), + .O(aid_match_70_carry_i_2__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_70_carry_i_3__0 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_id_reg [3]), + .I1(s_axi_awid[3]), + .I2(s_axi_awid[5]), + .I3(\gen_multi_thread.gen_thread_loop[7].active_id_reg [5]), + .I4(s_axi_awid[4]), + .I5(\gen_multi_thread.gen_thread_loop[7].active_id_reg [4]), + .O(aid_match_70_carry_i_3__0_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + aid_match_70_carry_i_4__0 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_id_reg [1]), + .I1(s_axi_awid[1]), + .I2(s_axi_awid[2]), + .I3(\gen_multi_thread.gen_thread_loop[7].active_id_reg [2]), + .I4(s_axi_awid[0]), + .I5(\gen_multi_thread.gen_thread_loop[7].active_id_reg [0]), + .O(aid_match_70_carry_i_4__0_n_0)); + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.accept_cnt[0]_i_1__0 + (.I0(\gen_multi_thread.accept_cnt_reg [0]), + .O(\gen_multi_thread.accept_cnt[0]_i_1__0_n_0 )); + FDRE \gen_multi_thread.accept_cnt_reg[0] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_5 ), + .D(\gen_multi_thread.accept_cnt[0]_i_1__0_n_0 ), + .Q(\gen_multi_thread.accept_cnt_reg [0]), + .R(SR)); + FDRE \gen_multi_thread.accept_cnt_reg[1] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_5 ), + .D(\gen_multi_thread.arbiter_resp_inst_n_8 ), + .Q(\gen_multi_thread.accept_cnt_reg [1]), + .R(SR)); + FDRE \gen_multi_thread.accept_cnt_reg[2] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_5 ), + .D(\gen_multi_thread.arbiter_resp_inst_n_7 ), + .Q(\gen_multi_thread.accept_cnt_reg [2]), + .R(SR)); + FDRE \gen_multi_thread.accept_cnt_reg[3] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_5 ), + .D(\gen_multi_thread.arbiter_resp_inst_n_6 ), + .Q(\gen_multi_thread.accept_cnt_reg [3]), + .R(SR)); + system_design_xbar_1_axi_crossbar_v2_1_10_arbiter_resp \gen_multi_thread.arbiter_resp_inst + (.CO(aid_match_10), + .D({\gen_multi_thread.arbiter_resp_inst_n_6 ,\gen_multi_thread.arbiter_resp_inst_n_7 ,\gen_multi_thread.arbiter_resp_inst_n_8 }), + .E(\gen_multi_thread.arbiter_resp_inst_n_5 ), + .Q(\gen_multi_thread.accept_cnt_reg ), + .S({\gen_multi_thread.arbiter_resp_inst_n_22 ,\gen_multi_thread.arbiter_resp_inst_n_23 ,\gen_multi_thread.arbiter_resp_inst_n_24 ,\gen_multi_thread.arbiter_resp_inst_n_25 }), + .SR(SR), + .aa_mi_awtarget_hot(aa_mi_awtarget_hot), + .aa_sa_awvalid(aa_sa_awvalid), + .aclk(aclk), + .active_target({active_target[57],active_target[41],active_target[33],active_target[25],active_target[9]}), + .aresetn_d(aresetn_d), + .\chosen_reg[0]_0 (chosen[0]), + .\chosen_reg[1]_0 (chosen[1]), + .\chosen_reg[2]_0 (chosen[2]), + .cmd_push_0(cmd_push_0), + .cmd_push_1(cmd_push_1), + .cmd_push_2(cmd_push_2), + .cmd_push_3(cmd_push_3), + .cmd_push_4(cmd_push_4), + .cmd_push_5(cmd_push_5), + .cmd_push_6(cmd_push_6), + .cmd_push_7(cmd_push_7), + .\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1] (\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0 ), + .\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]_0 (\gen_no_arbiter.s_ready_i[0]_i_16_n_0 ), + .\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2] (\gen_multi_thread.arbiter_resp_inst_n_16 ), + .\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 (\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0 ), + .\gen_multi_thread.gen_thread_loop[0].active_id_reg[11] (\gen_multi_thread.gen_thread_loop[0].active_id_reg ), + .\gen_multi_thread.gen_thread_loop[0].active_id_reg[9] (p_14_out), + .\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10] (\gen_multi_thread.arbiter_resp_inst_n_15 ), + .\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0 ({\gen_multi_thread.arbiter_resp_inst_n_26 ,\gen_multi_thread.arbiter_resp_inst_n_27 ,\gen_multi_thread.arbiter_resp_inst_n_28 ,\gen_multi_thread.arbiter_resp_inst_n_29 }), + .\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[11] (\gen_no_arbiter.s_ready_i[0]_i_2_n_0 ), + .\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9] (\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3_n_0 ), + .\gen_multi_thread.gen_thread_loop[1].active_id_reg[21] (p_12_out), + .\gen_multi_thread.gen_thread_loop[1].active_id_reg[23] (\gen_multi_thread.gen_thread_loop[1].active_id_reg ), + .\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17] (\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4_n_0 ), + .\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18] (\gen_multi_thread.arbiter_resp_inst_n_14 ), + .\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0 ({\gen_multi_thread.arbiter_resp_inst_n_30 ,\gen_multi_thread.arbiter_resp_inst_n_31 ,\gen_multi_thread.arbiter_resp_inst_n_32 ,\gen_multi_thread.arbiter_resp_inst_n_33 }), + .\gen_multi_thread.gen_thread_loop[2].active_id_reg[33] (p_10_out), + .\gen_multi_thread.gen_thread_loop[2].active_id_reg[35] (\gen_multi_thread.gen_thread_loop[2].active_id_reg ), + .\gen_multi_thread.gen_thread_loop[2].active_target_reg[17] (\gen_no_arbiter.s_ready_i[0]_i_7_n_0 ), + .\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25] (\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2_n_0 ), + .\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26] (\gen_multi_thread.arbiter_resp_inst_n_13 ), + .\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0 ({\gen_multi_thread.arbiter_resp_inst_n_34 ,\gen_multi_thread.arbiter_resp_inst_n_35 ,\gen_multi_thread.arbiter_resp_inst_n_36 ,\gen_multi_thread.arbiter_resp_inst_n_37 }), + .\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[27] (\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8_n_0 ), + .\gen_multi_thread.gen_thread_loop[3].active_id_reg[45] (p_8_out), + .\gen_multi_thread.gen_thread_loop[3].active_id_reg[47] (\gen_multi_thread.gen_thread_loop[3].active_id_reg ), + .\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33] (\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3_n_0 ), + .\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34] (\gen_multi_thread.arbiter_resp_inst_n_12 ), + .\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0 ({\gen_multi_thread.arbiter_resp_inst_n_38 ,\gen_multi_thread.arbiter_resp_inst_n_39 ,\gen_multi_thread.arbiter_resp_inst_n_40 ,\gen_multi_thread.arbiter_resp_inst_n_41 }), + .\gen_multi_thread.gen_thread_loop[4].active_id_reg[57] (p_6_out), + .\gen_multi_thread.gen_thread_loop[4].active_id_reg[57]_0 (aid_match_40), + .\gen_multi_thread.gen_thread_loop[4].active_id_reg[59] (\gen_multi_thread.gen_thread_loop[4].active_id_reg ), + .\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41] (\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2_n_0 ), + .\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42] (\gen_multi_thread.arbiter_resp_inst_n_11 ), + .\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0 ({\gen_multi_thread.arbiter_resp_inst_n_42 ,\gen_multi_thread.arbiter_resp_inst_n_43 ,\gen_multi_thread.arbiter_resp_inst_n_44 ,\gen_multi_thread.arbiter_resp_inst_n_45 }), + .\gen_multi_thread.gen_thread_loop[5].active_id_reg[69] (p_4_out), + .\gen_multi_thread.gen_thread_loop[5].active_id_reg[70] (aid_match_50), + .\gen_multi_thread.gen_thread_loop[5].active_id_reg[71] (\gen_multi_thread.gen_thread_loop[5].active_id_reg ), + .\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[49] (\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4_n_0 ), + .\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50] (\gen_multi_thread.arbiter_resp_inst_n_10 ), + .\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0 ({\gen_multi_thread.arbiter_resp_inst_n_46 ,\gen_multi_thread.arbiter_resp_inst_n_47 ,\gen_multi_thread.arbiter_resp_inst_n_48 ,\gen_multi_thread.arbiter_resp_inst_n_49 }), + .\gen_multi_thread.gen_thread_loop[6].active_id_reg[81] (p_2_out), + .\gen_multi_thread.gen_thread_loop[6].active_id_reg[83] (\gen_multi_thread.gen_thread_loop[6].active_id_reg ), + .\gen_multi_thread.gen_thread_loop[6].active_target_reg[49] (\gen_no_arbiter.s_ready_i[0]_i_8_n_0 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56] (\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9_n_0 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] (\gen_multi_thread.arbiter_resp_inst_n_9 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 ({\gen_multi_thread.arbiter_resp_inst_n_50 ,\gen_multi_thread.arbiter_resp_inst_n_51 ,\gen_multi_thread.arbiter_resp_inst_n_52 ,\gen_multi_thread.arbiter_resp_inst_n_53 }), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 (\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3_n_0 ), + .\gen_multi_thread.gen_thread_loop[7].active_id_reg[93] (p_0_out), + .\gen_multi_thread.gen_thread_loop[7].active_id_reg[95] (\gen_multi_thread.gen_thread_loop[7].active_id_reg ), + .\gen_no_arbiter.m_target_hot_i_reg[2] (\gen_no_arbiter.m_target_hot_i_reg[2] ), + .\gen_no_arbiter.m_target_hot_i_reg[2]_0 (\gen_no_arbiter.m_target_hot_i_reg[2]_0 ), + .\gen_no_arbiter.m_target_hot_i_reg[2]_1 (\gen_no_arbiter.m_target_hot_i_reg[2]_1 ), + .\gen_no_arbiter.m_valid_i_reg (\gen_no_arbiter.m_valid_i_reg ), + .\gen_no_arbiter.s_ready_i_reg[0] (\gen_no_arbiter.s_ready_i_reg[0] ), + .\gen_no_arbiter.s_ready_i_reg[0]_0 (\gen_no_arbiter.s_ready_i_reg[0]_0 ), + .\gen_no_arbiter.s_ready_i_reg[0]_1 (\gen_no_arbiter.s_ready_i_reg[0]_1 ), + .\m_payload_i_reg[10] (\m_payload_i_reg[10] ), + .\m_payload_i_reg[11] (\m_payload_i_reg[11] ), + .\m_payload_i_reg[3] (\m_payload_i_reg[3] ), + .\m_payload_i_reg[5] (\m_payload_i_reg[5] ), + .\m_payload_i_reg[7] (\m_payload_i_reg[7] ), + .\m_payload_i_reg[8] (\m_payload_i_reg[8] ), + .\m_ready_d_reg[1] (\m_ready_d_reg[1] ), + .match(match), + .p_38_out(p_38_out), + .p_60_out(p_60_out), + .p_80_out(p_80_out), + .\s_axi_awaddr[25] (\s_axi_awaddr[25] ), + .s_axi_bid(s_axi_bid), + .s_axi_bready(s_axi_bready), + .s_axi_bvalid(s_axi_bvalid), + .st_mr_bid(st_mr_bid), + .w_issuing_cnt(w_issuing_cnt[2])); + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0 + (.I0(active_cnt[0]), + .O(\gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair107" *) + LUT3 #( + .INIT(8'h69)) + \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1 + (.I0(cmd_push_0), + .I1(active_cnt[1]), + .I2(active_cnt[0]), + .O(\gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair107" *) + LUT4 #( + .INIT(16'h6AA9)) + \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1 + (.I0(active_cnt[2]), + .I1(cmd_push_0), + .I2(active_cnt[1]), + .I3(active_cnt[0]), + .O(\gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair99" *) + LUT5 #( + .INIT(32'h6AAAAAA9)) + \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2 + (.I0(active_cnt[3]), + .I1(active_cnt[2]), + .I2(active_cnt[0]), + .I3(active_cnt[1]), + .I4(cmd_push_0), + .O(\gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[0] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_16 ), + .D(\gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0_n_0 ), + .Q(active_cnt[0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_16 ), + .D(\gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1_n_0 ), + .Q(active_cnt[1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_16 ), + .D(\gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1_n_0 ), + .Q(active_cnt[2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[3] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_16 ), + .D(\gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2_n_0 ), + .Q(active_cnt[3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[0] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_awid[0]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg [0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[10] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_awid[10]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg [10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[11] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_awid[11]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg [11]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[1] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_awid[1]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg [1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[2] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_awid[2]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg [2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[3] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_awid[3]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg [3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[4] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_awid[4]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg [4]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[5] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_awid[5]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg [5]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[6] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_awid[6]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg [6]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[7] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_awid[7]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg [7]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[8] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_awid[8]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg [8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_id_reg[9] + (.C(aclk), + .CE(cmd_push_0), + .D(s_axi_awid[9]), + .Q(\gen_multi_thread.gen_thread_loop[0].active_id_reg [9]), + .R(SR)); + LUT4 #( + .INIT(16'h08A8)) + \gen_multi_thread.gen_thread_loop[0].active_target[1]_i_1 + (.I0(\gen_no_arbiter.s_ready_i_reg[0]_1 ), + .I1(aid_match_00), + .I2(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0 ), + .O(cmd_push_0)); + FDRE \gen_multi_thread.gen_thread_loop[0].active_target_reg[1] + (.C(aclk), + .CE(cmd_push_0), + .D(\gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0 ), + .Q(active_target[1]), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair104" *) + LUT4 #( + .INIT(16'h6AA9)) + \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1 + (.I0(active_cnt[10]), + .I1(cmd_push_1), + .I2(active_cnt[9]), + .I3(active_cnt[8]), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair104" *) + LUT5 #( + .INIT(32'h6AAAAAA9)) + \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2 + (.I0(active_cnt[11]), + .I1(active_cnt[10]), + .I2(active_cnt[8]), + .I3(active_cnt[9]), + .I4(cmd_push_1), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair112" *) + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0 + (.I0(active_cnt[8]), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair112" *) + LUT3 #( + .INIT(8'h69)) + \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1 + (.I0(cmd_push_1), + .I1(active_cnt[9]), + .I2(active_cnt[8]), + .O(\gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_15 ), + .D(\gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1_n_0 ), + .Q(active_cnt[10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[11] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_15 ), + .D(\gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2_n_0 ), + .Q(active_cnt[11]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[8] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_15 ), + .D(\gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0_n_0 ), + .Q(active_cnt[8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_15 ), + .D(\gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1_n_0 ), + .Q(active_cnt[9]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[12] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_awid[0]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg [0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[13] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_awid[1]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg [1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[14] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_awid[2]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg [2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[15] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_awid[3]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg [3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[16] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_awid[4]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg [4]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[17] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_awid[5]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg [5]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[18] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_awid[6]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg [6]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[19] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_awid[7]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg [7]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[20] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_awid[8]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg [8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[21] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_awid[9]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg [9]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[22] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_awid[10]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg [10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_id_reg[23] + (.C(aclk), + .CE(cmd_push_1), + .D(s_axi_awid[11]), + .Q(\gen_multi_thread.gen_thread_loop[1].active_id_reg [11]), + .R(SR)); + LUT5 #( + .INIT(32'h080808A8)) + \gen_multi_thread.gen_thread_loop[1].active_target[9]_i_1 + (.I0(\gen_no_arbiter.s_ready_i_reg[0]_1 ), + .I1(aid_match_10), + .I2(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0 ), + .O(cmd_push_1)); + FDRE \gen_multi_thread.gen_thread_loop[1].active_target_reg[9] + (.C(aclk), + .CE(cmd_push_1), + .D(\gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0 ), + .Q(active_target[9]), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair109" *) + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0 + (.I0(active_cnt[16]), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair109" *) + LUT3 #( + .INIT(8'h69)) + \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1 + (.I0(cmd_push_2), + .I1(active_cnt[17]), + .I2(active_cnt[16]), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair106" *) + LUT4 #( + .INIT(16'h6AA9)) + \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1 + (.I0(active_cnt[18]), + .I1(active_cnt[17]), + .I2(active_cnt[16]), + .I3(cmd_push_2), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair106" *) + LUT5 #( + .INIT(32'h6AAAAAA9)) + \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2 + (.I0(active_cnt[19]), + .I1(cmd_push_2), + .I2(active_cnt[16]), + .I3(active_cnt[17]), + .I4(active_cnt[18]), + .O(\gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[16] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_14 ), + .D(\gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0_n_0 ), + .Q(active_cnt[16]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_14 ), + .D(\gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1_n_0 ), + .Q(active_cnt[17]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_14 ), + .D(\gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1_n_0 ), + .Q(active_cnt[18]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[19] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_14 ), + .D(\gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2_n_0 ), + .Q(active_cnt[19]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[24] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_awid[0]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg [0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[25] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_awid[1]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg [1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[26] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_awid[2]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg [2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[27] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_awid[3]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg [3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[28] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_awid[4]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg [4]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[29] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_awid[5]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg [5]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[30] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_awid[6]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg [6]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[31] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_awid[7]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg [7]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[32] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_awid[8]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg [8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[33] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_awid[9]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg [9]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[34] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_awid[10]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg [10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[2].active_id_reg[35] + (.C(aclk), + .CE(cmd_push_2), + .D(s_axi_awid[11]), + .Q(\gen_multi_thread.gen_thread_loop[2].active_id_reg [11]), + .R(SR)); + LUT6 #( + .INIT(64'hAAAA000200000002)) + \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_1 + (.I0(\gen_no_arbiter.s_ready_i_reg[0]_1 ), + .I1(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0 ), + .I2(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4_n_0 ), + .I5(aid_match_20), + .O(cmd_push_2)); + (* SOFT_HLUTNM = "soft_lutpair99" *) + LUT4 #( + .INIT(16'h0001)) + \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2 + (.I0(active_cnt[1]), + .I1(active_cnt[0]), + .I2(active_cnt[2]), + .I3(active_cnt[3]), + .O(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair101" *) + LUT4 #( + .INIT(16'h0001)) + \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3 + (.I0(active_cnt[9]), + .I1(active_cnt[8]), + .I2(active_cnt[10]), + .I3(active_cnt[11]), + .O(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair94" *) + LUT4 #( + .INIT(16'hFFFE)) + \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4 + (.I0(active_cnt[17]), + .I1(active_cnt[16]), + .I2(active_cnt[18]), + .I3(active_cnt[19]), + .O(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[2].active_target_reg[17] + (.C(aclk), + .CE(cmd_push_2), + .D(\gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0 ), + .Q(active_target[17]), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair95" *) + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0 + (.I0(active_cnt[24]), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0_n_0 )); + LUT3 #( + .INIT(8'h69)) + \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1 + (.I0(cmd_push_3), + .I1(active_cnt[25]), + .I2(active_cnt[24]), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair102" *) + LUT4 #( + .INIT(16'h6AA9)) + \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1 + (.I0(active_cnt[26]), + .I1(cmd_push_3), + .I2(active_cnt[25]), + .I3(active_cnt[24]), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair102" *) + LUT5 #( + .INIT(32'h6AAAAAA9)) + \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2 + (.I0(active_cnt[27]), + .I1(active_cnt[26]), + .I2(active_cnt[24]), + .I3(active_cnt[25]), + .I4(cmd_push_3), + .O(\gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[24] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_13 ), + .D(\gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0_n_0 ), + .Q(active_cnt[24]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_13 ), + .D(\gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1_n_0 ), + .Q(active_cnt[25]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_13 ), + .D(\gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1_n_0 ), + .Q(active_cnt[26]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[27] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_13 ), + .D(\gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2_n_0 ), + .Q(active_cnt[27]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[36] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_awid[0]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg [0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[37] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_awid[1]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg [1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[38] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_awid[2]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg [2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[39] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_awid[3]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg [3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[40] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_awid[4]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg [4]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[41] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_awid[5]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg [5]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[42] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_awid[6]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg [6]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[43] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_awid[7]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg [7]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[44] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_awid[8]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg [8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[45] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_awid[9]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg [9]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[46] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_awid[10]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg [10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[3].active_id_reg[47] + (.C(aclk), + .CE(cmd_push_3), + .D(s_axi_awid[11]), + .Q(\gen_multi_thread.gen_thread_loop[3].active_id_reg [11]), + .R(SR)); + LUT5 #( + .INIT(32'h080808A8)) + \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_1 + (.I0(\gen_no_arbiter.s_ready_i_reg[0]_1 ), + .I1(aid_match_30), + .I2(\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3__0_n_0 ), + .O(cmd_push_3)); + (* SOFT_HLUTNM = "soft_lutpair92" *) + LUT4 #( + .INIT(16'h0001)) + \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2 + (.I0(active_cnt[25]), + .I1(active_cnt[24]), + .I2(active_cnt[26]), + .I3(active_cnt[27]), + .O(\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2_n_0 )); + LUT6 #( + .INIT(64'hFFFF0001FFFFFFFF)) + \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3__0 + (.I0(active_cnt[9]), + .I1(active_cnt[8]), + .I2(active_cnt[10]), + .I3(active_cnt[11]), + .I4(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0 ), + .I5(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3__0_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[3].active_target_reg[25] + (.C(aclk), + .CE(cmd_push_3), + .D(\gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0 ), + .Q(active_target[25]), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair110" *) + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0 + (.I0(active_cnt[32]), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair110" *) + LUT3 #( + .INIT(8'h69)) + \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1 + (.I0(cmd_push_4), + .I1(active_cnt[33]), + .I2(active_cnt[32]), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair98" *) + LUT4 #( + .INIT(16'h6AA9)) + \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1 + (.I0(active_cnt[34]), + .I1(active_cnt[33]), + .I2(active_cnt[32]), + .I3(cmd_push_4), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair98" *) + LUT5 #( + .INIT(32'h6AAAAAA9)) + \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2 + (.I0(active_cnt[35]), + .I1(cmd_push_4), + .I2(active_cnt[32]), + .I3(active_cnt[33]), + .I4(active_cnt[34]), + .O(\gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[32] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_12 ), + .D(\gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0_n_0 ), + .Q(active_cnt[32]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_12 ), + .D(\gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1_n_0 ), + .Q(active_cnt[33]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_12 ), + .D(\gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1_n_0 ), + .Q(active_cnt[34]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[35] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_12 ), + .D(\gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2_n_0 ), + .Q(active_cnt[35]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[48] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_awid[0]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg [0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[49] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_awid[1]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg [1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[50] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_awid[2]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg [2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[51] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_awid[3]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg [3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[52] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_awid[4]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg [4]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[53] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_awid[5]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg [5]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[54] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_awid[6]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg [6]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[55] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_awid[7]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg [7]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[56] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_awid[8]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg [8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[57] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_awid[9]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg [9]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[58] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_awid[10]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg [10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[4].active_id_reg[59] + (.C(aclk), + .CE(cmd_push_4), + .D(s_axi_awid[11]), + .Q(\gen_multi_thread.gen_thread_loop[4].active_id_reg [11]), + .R(SR)); + LUT5 #( + .INIT(32'hAA020002)) + \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_1 + (.I0(\gen_no_arbiter.s_ready_i_reg[0]_1 ), + .I1(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0 ), + .I2(\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3_n_0 ), + .I4(aid_match_40), + .O(cmd_push_4)); + (* SOFT_HLUTNM = "soft_lutpair95" *) + LUT5 #( + .INIT(32'hFFFF0001)) + \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2 + (.I0(active_cnt[27]), + .I1(active_cnt[26]), + .I2(active_cnt[24]), + .I3(active_cnt[25]), + .I4(\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3__0_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair93" *) + LUT4 #( + .INIT(16'hFFFE)) + \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3 + (.I0(active_cnt[33]), + .I1(active_cnt[32]), + .I2(active_cnt[34]), + .I3(active_cnt[35]), + .O(\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[4].active_target_reg[33] + (.C(aclk), + .CE(cmd_push_4), + .D(\gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0 ), + .Q(active_target[33]), + .R(SR)); + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0 + (.I0(active_cnt[40]), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair108" *) + LUT3 #( + .INIT(8'h69)) + \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1 + (.I0(cmd_push_5), + .I1(active_cnt[41]), + .I2(active_cnt[40]), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair108" *) + LUT4 #( + .INIT(16'h6AA9)) + \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1 + (.I0(active_cnt[42]), + .I1(cmd_push_5), + .I2(active_cnt[41]), + .I3(active_cnt[40]), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair103" *) + LUT5 #( + .INIT(32'h6AAAAAA9)) + \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2 + (.I0(active_cnt[43]), + .I1(active_cnt[42]), + .I2(active_cnt[40]), + .I3(active_cnt[41]), + .I4(cmd_push_5), + .O(\gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[40] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_11 ), + .D(\gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0_n_0 ), + .Q(active_cnt[40]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_11 ), + .D(\gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1_n_0 ), + .Q(active_cnt[41]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_11 ), + .D(\gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1_n_0 ), + .Q(active_cnt[42]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[43] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_11 ), + .D(\gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2_n_0 ), + .Q(active_cnt[43]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[60] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_awid[0]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg [0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[61] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_awid[1]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg [1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[62] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_awid[2]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg [2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[63] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_awid[3]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg [3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[64] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_awid[4]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg [4]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[65] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_awid[5]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg [5]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[66] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_awid[6]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg [6]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[67] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_awid[7]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg [7]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[68] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_awid[8]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg [8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[69] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_awid[9]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg [9]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[70] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_awid[10]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg [10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[5].active_id_reg[71] + (.C(aclk), + .CE(cmd_push_5), + .D(s_axi_awid[11]), + .Q(\gen_multi_thread.gen_thread_loop[5].active_id_reg [11]), + .R(SR)); + LUT5 #( + .INIT(32'h080808A8)) + \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_1 + (.I0(\gen_no_arbiter.s_ready_i_reg[0]_1 ), + .I1(aid_match_50), + .I2(\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3__0_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0 ), + .O(cmd_push_5)); + (* SOFT_HLUTNM = "soft_lutpair103" *) + LUT4 #( + .INIT(16'h0001)) + \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2 + (.I0(active_cnt[41]), + .I1(active_cnt[40]), + .I2(active_cnt[42]), + .I3(active_cnt[43]), + .O(\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair93" *) + LUT5 #( + .INIT(32'hAAAAAAAB)) + \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3__0 + (.I0(\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2_n_0 ), + .I1(active_cnt[35]), + .I2(active_cnt[34]), + .I3(active_cnt[32]), + .I4(active_cnt[33]), + .O(\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3__0_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[5].active_target_reg[41] + (.C(aclk), + .CE(cmd_push_5), + .D(\gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0 ), + .Q(active_target[41]), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair111" *) + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0 + (.I0(active_cnt[48]), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair111" *) + LUT3 #( + .INIT(8'h69)) + \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1 + (.I0(cmd_push_6), + .I1(active_cnt[49]), + .I2(active_cnt[48]), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair105" *) + LUT4 #( + .INIT(16'h6AA9)) + \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1 + (.I0(active_cnt[50]), + .I1(cmd_push_6), + .I2(active_cnt[49]), + .I3(active_cnt[48]), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair105" *) + LUT5 #( + .INIT(32'h6AAAAAA9)) + \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2 + (.I0(active_cnt[51]), + .I1(active_cnt[50]), + .I2(active_cnt[48]), + .I3(active_cnt[49]), + .I4(cmd_push_6), + .O(\gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[48] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_10 ), + .D(\gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0_n_0 ), + .Q(active_cnt[48]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[49] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_10 ), + .D(\gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1_n_0 ), + .Q(active_cnt[49]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_10 ), + .D(\gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1_n_0 ), + .Q(active_cnt[50]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[51] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_10 ), + .D(\gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2_n_0 ), + .Q(active_cnt[51]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[72] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_awid[0]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg [0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[73] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_awid[1]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg [1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[74] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_awid[2]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg [2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[75] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_awid[3]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg [3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[76] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_awid[4]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg [4]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[77] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_awid[5]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg [5]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[78] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_awid[6]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg [6]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[79] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_awid[7]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg [7]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[80] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_awid[8]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg [8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[81] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_awid[9]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg [9]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[82] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_awid[10]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg [10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_id_reg[83] + (.C(aclk), + .CE(cmd_push_6), + .D(s_axi_awid[11]), + .Q(\gen_multi_thread.gen_thread_loop[6].active_id_reg [11]), + .R(SR)); + LUT5 #( + .INIT(32'hAA080008)) + \gen_multi_thread.gen_thread_loop[6].active_target[49]_i_1 + (.I0(\gen_no_arbiter.s_ready_i_reg[0]_1 ), + .I1(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6_n_0 ), + .I2(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4_n_0 ), + .I4(aid_match_60), + .O(cmd_push_6)); + FDRE \gen_multi_thread.gen_thread_loop[6].active_target_reg[49] + (.C(aclk), + .CE(cmd_push_6), + .D(\gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0 ), + .Q(active_target[49]), + .R(SR)); + (* SOFT_HLUTNM = "soft_lutpair113" *) + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0 + (.I0(active_cnt[56]), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair113" *) + LUT3 #( + .INIT(8'h69)) + \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1 + (.I0(cmd_push_7), + .I1(active_cnt[57]), + .I2(active_cnt[56]), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair100" *) + LUT4 #( + .INIT(16'h6AA9)) + \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1 + (.I0(active_cnt[58]), + .I1(active_cnt[57]), + .I2(active_cnt[56]), + .I3(cmd_push_7), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair100" *) + LUT5 #( + .INIT(32'h6AAAAAA9)) + \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2 + (.I0(active_cnt[59]), + .I1(cmd_push_7), + .I2(active_cnt[56]), + .I3(active_cnt[57]), + .I4(active_cnt[58]), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_9 ), + .D(\gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0_n_0 ), + .Q(active_cnt[56]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[57] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_9 ), + .D(\gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1_n_0 ), + .Q(active_cnt[57]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_9 ), + .D(\gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1_n_0 ), + .Q(active_cnt[58]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[59] + (.C(aclk), + .CE(\gen_multi_thread.arbiter_resp_inst_n_9 ), + .D(\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2_n_0 ), + .Q(active_cnt[59]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[84] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_awid[0]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg [0]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[85] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_awid[1]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg [1]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[86] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_awid[2]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg [2]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[87] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_awid[3]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg [3]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[88] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_awid[4]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg [4]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[89] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_awid[5]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg [5]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[90] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_awid[6]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg [6]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[91] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_awid[7]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg [7]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[92] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_awid[8]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg [8]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[93] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_awid[9]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg [9]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[94] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_awid[10]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg [10]), + .R(SR)); + FDRE \gen_multi_thread.gen_thread_loop[7].active_id_reg[95] + (.C(aclk), + .CE(cmd_push_7), + .D(s_axi_awid[11]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_id_reg [11]), + .R(SR)); + LUT6 #( + .INIT(64'h0808A80808080808)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1 + (.I0(\gen_no_arbiter.s_ready_i_reg[0]_1 ), + .I1(aid_match_70), + .I2(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0 ), + .I5(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6_n_0 ), + .O(cmd_push_7)); + LUT4 #( + .INIT(16'h4F44)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10 + (.I0(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0 ), + .I1(aid_match_00), + .I2(\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2_n_0 ), + .I3(aid_match_50), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair94" *) + LUT5 #( + .INIT(32'h55555557)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11 + (.I0(aid_match_20), + .I1(active_cnt[19]), + .I2(active_cnt[18]), + .I3(active_cnt[16]), + .I4(active_cnt[17]), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair96" *) + LUT5 #( + .INIT(32'h55555557)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12 + (.I0(aid_match_60), + .I1(active_cnt[51]), + .I2(active_cnt[50]), + .I3(active_cnt[48]), + .I4(active_cnt[49]), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12_n_0 )); + LUT4 #( + .INIT(16'h7077)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_13 + (.I0(\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3_n_0 ), + .I1(aid_match_40), + .I2(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3_n_0 ), + .I3(aid_match_10), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_13_n_0 )); + LUT1 #( + .INIT(2'h1)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2 + (.I0(match), + .O(\gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0 )); + (* SOFT_HLUTNM = "soft_lutpair97" *) + LUT4 #( + .INIT(16'h0001)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3 + (.I0(active_cnt[58]), + .I1(active_cnt[59]), + .I2(active_cnt[57]), + .I3(active_cnt[56]), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair96" *) + LUT4 #( + .INIT(16'hFFFE)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4 + (.I0(active_cnt[49]), + .I1(active_cnt[48]), + .I2(active_cnt[50]), + .I3(active_cnt[51]), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4_n_0 )); + LUT6 #( + .INIT(64'hFEFFFFFFFFFFFFFF)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9_n_0 ), + .I2(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10_n_0 ), + .I3(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11_n_0 ), + .I4(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12_n_0 ), + .I5(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_13_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0 )); + LUT6 #( + .INIT(64'h00000000FFFE0000)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6 + (.I0(active_cnt[43]), + .I1(active_cnt[42]), + .I2(active_cnt[40]), + .I3(active_cnt[41]), + .I4(\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3_n_0 ), + .I5(\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2_n_0 ), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair92" *) + LUT5 #( + .INIT(32'hAAAAAAA8)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8 + (.I0(aid_match_30), + .I1(active_cnt[27]), + .I2(active_cnt[26]), + .I3(active_cnt[24]), + .I4(active_cnt[25]), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair97" *) + LUT5 #( + .INIT(32'hAAAAAAA8)) + \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9 + (.I0(aid_match_70), + .I1(active_cnt[56]), + .I2(active_cnt[57]), + .I3(active_cnt[59]), + .I4(active_cnt[58]), + .O(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9_n_0 )); + FDRE \gen_multi_thread.gen_thread_loop[7].active_target_reg[57] + (.C(aclk), + .CE(cmd_push_7), + .D(\gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0 ), + .Q(active_target[57]), + .R(SR)); + LUT6 #( + .INIT(64'h2020FF2020202020)) + \gen_no_arbiter.s_ready_i[0]_i_13 + (.I0(aid_match_30), + .I1(\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2_n_0 ), + .I2(active_target[25]), + .I3(aid_match_00), + .I4(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0 ), + .I5(active_target[1]), + .O(\gen_no_arbiter.s_ready_i[0]_i_13_n_0 )); + LUT6 #( + .INIT(64'hFF04040404040404)) + \gen_no_arbiter.s_ready_i[0]_i_14 + (.I0(m_valid_i_reg), + .I1(w_issuing_cnt[1]), + .I2(w_issuing_cnt[0]), + .I3(aid_match_40), + .I4(\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3_n_0 ), + .I5(active_target[33]), + .O(\gen_no_arbiter.s_ready_i[0]_i_14_n_0 )); + LUT6 #( + .INIT(64'h00000000FFFE0000)) + \gen_no_arbiter.s_ready_i[0]_i_16 + (.I0(active_cnt[1]), + .I1(active_cnt[0]), + .I2(active_cnt[2]), + .I3(active_cnt[3]), + .I4(aid_match_00), + .I5(active_target[1]), + .O(\gen_no_arbiter.s_ready_i[0]_i_16_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair101" *) + LUT5 #( + .INIT(32'hAAAAAAA8)) + \gen_no_arbiter.s_ready_i[0]_i_2 + (.I0(aid_match_10), + .I1(active_cnt[11]), + .I2(active_cnt[10]), + .I3(active_cnt[8]), + .I4(active_cnt[9]), + .O(\gen_no_arbiter.s_ready_i[0]_i_2_n_0 )); + LUT6 #( + .INIT(64'h0045004500000045)) + \gen_no_arbiter.s_ready_i[0]_i_7 + (.I0(\gen_no_arbiter.s_ready_i[0]_i_13_n_0 ), + .I1(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11_n_0 ), + .I2(active_target[17]), + .I3(\gen_no_arbiter.s_ready_i[0]_i_14_n_0 ), + .I4(active_target[49]), + .I5(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12_n_0 ), + .O(\gen_no_arbiter.s_ready_i[0]_i_7_n_0 )); + LUT6 #( + .INIT(64'hF7F7F7F700F7F7F7)) + \gen_no_arbiter.s_ready_i[0]_i_8 + (.I0(aid_match_60), + .I1(\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4_n_0 ), + .I2(active_target[49]), + .I3(aid_match_20), + .I4(\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4_n_0 ), + .I5(active_target[17]), + .O(\gen_no_arbiter.s_ready_i[0]_i_8_n_0 )); + CARRY4 p_0_out_inferred__9_carry + (.CI(1'b0), + .CO({p_0_out,p_0_out_inferred__9_carry_n_1,p_0_out_inferred__9_carry_n_2,p_0_out_inferred__9_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_p_0_out_inferred__9_carry_O_UNCONNECTED[3:0]), + .S({\gen_multi_thread.arbiter_resp_inst_n_50 ,\gen_multi_thread.arbiter_resp_inst_n_51 ,\gen_multi_thread.arbiter_resp_inst_n_52 ,\gen_multi_thread.arbiter_resp_inst_n_53 })); + CARRY4 p_10_out_carry + (.CI(1'b0), + .CO({p_10_out,p_10_out_carry_n_1,p_10_out_carry_n_2,p_10_out_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_p_10_out_carry_O_UNCONNECTED[3:0]), + .S({\gen_multi_thread.arbiter_resp_inst_n_30 ,\gen_multi_thread.arbiter_resp_inst_n_31 ,\gen_multi_thread.arbiter_resp_inst_n_32 ,\gen_multi_thread.arbiter_resp_inst_n_33 })); + CARRY4 p_12_out_carry + (.CI(1'b0), + .CO({p_12_out,p_12_out_carry_n_1,p_12_out_carry_n_2,p_12_out_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_p_12_out_carry_O_UNCONNECTED[3:0]), + .S({\gen_multi_thread.arbiter_resp_inst_n_26 ,\gen_multi_thread.arbiter_resp_inst_n_27 ,\gen_multi_thread.arbiter_resp_inst_n_28 ,\gen_multi_thread.arbiter_resp_inst_n_29 })); + CARRY4 p_14_out_carry + (.CI(1'b0), + .CO({p_14_out,p_14_out_carry_n_1,p_14_out_carry_n_2,p_14_out_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_p_14_out_carry_O_UNCONNECTED[3:0]), + .S({\gen_multi_thread.arbiter_resp_inst_n_22 ,\gen_multi_thread.arbiter_resp_inst_n_23 ,\gen_multi_thread.arbiter_resp_inst_n_24 ,\gen_multi_thread.arbiter_resp_inst_n_25 })); + CARRY4 p_2_out_carry + (.CI(1'b0), + .CO({p_2_out,p_2_out_carry_n_1,p_2_out_carry_n_2,p_2_out_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_p_2_out_carry_O_UNCONNECTED[3:0]), + .S({\gen_multi_thread.arbiter_resp_inst_n_46 ,\gen_multi_thread.arbiter_resp_inst_n_47 ,\gen_multi_thread.arbiter_resp_inst_n_48 ,\gen_multi_thread.arbiter_resp_inst_n_49 })); + CARRY4 p_4_out_carry + (.CI(1'b0), + .CO({p_4_out,p_4_out_carry_n_1,p_4_out_carry_n_2,p_4_out_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_p_4_out_carry_O_UNCONNECTED[3:0]), + .S({\gen_multi_thread.arbiter_resp_inst_n_42 ,\gen_multi_thread.arbiter_resp_inst_n_43 ,\gen_multi_thread.arbiter_resp_inst_n_44 ,\gen_multi_thread.arbiter_resp_inst_n_45 })); + CARRY4 p_6_out_carry + (.CI(1'b0), + .CO({p_6_out,p_6_out_carry_n_1,p_6_out_carry_n_2,p_6_out_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_p_6_out_carry_O_UNCONNECTED[3:0]), + .S({\gen_multi_thread.arbiter_resp_inst_n_38 ,\gen_multi_thread.arbiter_resp_inst_n_39 ,\gen_multi_thread.arbiter_resp_inst_n_40 ,\gen_multi_thread.arbiter_resp_inst_n_41 })); + CARRY4 p_8_out_carry + (.CI(1'b0), + .CO({p_8_out,p_8_out_carry_n_1,p_8_out_carry_n_2,p_8_out_carry_n_3}), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(NLW_p_8_out_carry_O_UNCONNECTED[3:0]), + .S({\gen_multi_thread.arbiter_resp_inst_n_34 ,\gen_multi_thread.arbiter_resp_inst_n_35 ,\gen_multi_thread.arbiter_resp_inst_n_36 ,\gen_multi_thread.arbiter_resp_inst_n_37 })); +endmodule + +(* ORIG_REF_NAME = "axi_crossbar_v2_1_10_splitter" *) +module system_design_xbar_1_axi_crossbar_v2_1_10_splitter + (\s_axi_awready[0] , + m_ready_d, + ss_wr_awvalid, + ss_aa_awready, + ss_wr_awready, + s_axi_awvalid, + aresetn_d, + aclk); + output \s_axi_awready[0] ; + output [1:0]m_ready_d; + output ss_wr_awvalid; + input ss_aa_awready; + input ss_wr_awready; + input [0:0]s_axi_awvalid; + input aresetn_d; + input aclk; + + wire aclk; + wire aresetn_d; + wire [1:0]m_ready_d; + wire \m_ready_d[0]_i_1_n_0 ; + wire \m_ready_d[1]_i_1_n_0 ; + wire \s_axi_awready[0] ; + wire [0:0]s_axi_awvalid; + wire ss_aa_awready; + wire ss_wr_awready; + wire ss_wr_awvalid; + + (* SOFT_HLUTNM = "soft_lutpair114" *) + LUT2 #( + .INIT(4'h2)) + \FSM_onehot_state[3]_i_4 + (.I0(s_axi_awvalid), + .I1(m_ready_d[1]), + .O(ss_wr_awvalid)); + LUT6 #( + .INIT(64'h000000000000CC80)) + \m_ready_d[0]_i_1 + (.I0(s_axi_awvalid), + .I1(aresetn_d), + .I2(ss_aa_awready), + .I3(m_ready_d[0]), + .I4(m_ready_d[1]), + .I5(ss_wr_awready), + .O(\m_ready_d[0]_i_1_n_0 )); + LUT6 #( + .INIT(64'h000C0008000C0000)) + \m_ready_d[1]_i_1 + (.I0(s_axi_awvalid), + .I1(aresetn_d), + .I2(ss_aa_awready), + .I3(m_ready_d[0]), + .I4(m_ready_d[1]), + .I5(ss_wr_awready), + .O(\m_ready_d[1]_i_1_n_0 )); + FDRE \m_ready_d_reg[0] + (.C(aclk), + .CE(1'b1), + .D(\m_ready_d[0]_i_1_n_0 ), + .Q(m_ready_d[0]), + .R(1'b0)); + FDRE \m_ready_d_reg[1] + (.C(aclk), + .CE(1'b1), + .D(\m_ready_d[1]_i_1_n_0 ), + .Q(m_ready_d[1]), + .R(1'b0)); + (* SOFT_HLUTNM = "soft_lutpair114" *) + LUT4 #( + .INIT(16'hEEE0)) + \s_axi_awready[0]_INST_0 + (.I0(ss_aa_awready), + .I1(m_ready_d[0]), + .I2(m_ready_d[1]), + .I3(ss_wr_awready), + .O(\s_axi_awready[0] )); +endmodule + +(* ORIG_REF_NAME = "axi_crossbar_v2_1_10_splitter" *) +module system_design_xbar_1_axi_crossbar_v2_1_10_splitter_3 + (\m_ready_d_reg[1]_0 , + \m_ready_d_reg[1]_1 , + m_axi_awready, + mi_awready_2, + aa_mi_awtarget_hot, + aa_sa_awvalid, + \gen_axi.s_axi_awready_i_reg , + aresetn_d, + aclk); + output \m_ready_d_reg[1]_0 ; + output [0:0]\m_ready_d_reg[1]_1 ; + input [0:0]m_axi_awready; + input mi_awready_2; + input [1:0]aa_mi_awtarget_hot; + input aa_sa_awvalid; + input \gen_axi.s_axi_awready_i_reg ; + input aresetn_d; + input aclk; + + wire [1:0]aa_mi_awtarget_hot; + wire aa_sa_awvalid; + wire aclk; + wire aresetn_d; + wire \gen_axi.s_axi_awready_i_reg ; + wire [0:0]m_axi_awready; + wire [0:0]m_ready_d; + wire \m_ready_d[0]_i_1_n_0 ; + wire \m_ready_d[1]_i_1_n_0 ; + wire \m_ready_d_reg[1]_0 ; + wire [0:0]\m_ready_d_reg[1]_1 ; + wire mi_awready_2; + + LUT6 #( + .INIT(64'h00000000EEEC0000)) + \m_ready_d[0]_i_1 + (.I0(aa_sa_awvalid), + .I1(m_ready_d), + .I2(aa_mi_awtarget_hot[0]), + .I3(aa_mi_awtarget_hot[1]), + .I4(aresetn_d), + .I5(\m_ready_d_reg[1]_0 ), + .O(\m_ready_d[0]_i_1_n_0 )); + LUT5 #( + .INIT(32'h0000E000)) + \m_ready_d[1]_i_1 + (.I0(\m_ready_d_reg[1]_1 ), + .I1(aa_sa_awvalid), + .I2(\gen_axi.s_axi_awready_i_reg ), + .I3(aresetn_d), + .I4(\m_ready_d_reg[1]_0 ), + .O(\m_ready_d[1]_i_1_n_0 )); + LUT6 #( + .INIT(64'hFEFEEEEEFAFAAA00)) + \m_ready_d[1]_i_3 + (.I0(\m_ready_d_reg[1]_1 ), + .I1(m_axi_awready), + .I2(mi_awready_2), + .I3(m_ready_d), + .I4(aa_mi_awtarget_hot[1]), + .I5(aa_mi_awtarget_hot[0]), + .O(\m_ready_d_reg[1]_0 )); + FDRE \m_ready_d_reg[0] + (.C(aclk), + .CE(1'b1), + .D(\m_ready_d[0]_i_1_n_0 ), + .Q(m_ready_d), + .R(1'b0)); + FDRE \m_ready_d_reg[1] + (.C(aclk), + .CE(1'b1), + .D(\m_ready_d[1]_i_1_n_0 ), + .Q(\m_ready_d_reg[1]_1 ), + .R(1'b0)); +endmodule + +(* ORIG_REF_NAME = "axi_crossbar_v2_1_10_wdata_router" *) +module system_design_xbar_1_axi_crossbar_v2_1_10_wdata_router + (ss_wr_awready, + m_axi_wvalid, + \gen_axi.write_cs_reg[1] , + s_axi_wready, + aclk, + \s_axi_awaddr[20] , + SR, + match, + s_axi_wvalid, + s_axi_wlast, + \gen_axi.write_cs_reg[1]_0 , + s_axi_awvalid, + m_ready_d, + m_axi_wready, + wr_tmp_wready, + ss_wr_awvalid); + output ss_wr_awready; + output [1:0]m_axi_wvalid; + output \gen_axi.write_cs_reg[1] ; + output [0:0]s_axi_wready; + input aclk; + input \s_axi_awaddr[20] ; + input [0:0]SR; + input match; + input [0:0]s_axi_wvalid; + input [0:0]s_axi_wlast; + input [0:0]\gen_axi.write_cs_reg[1]_0 ; + input [0:0]s_axi_awvalid; + input [0:0]m_ready_d; + input [1:0]m_axi_wready; + input [0:0]wr_tmp_wready; + input ss_wr_awvalid; + + wire [0:0]SR; + wire aclk; + wire \gen_axi.write_cs_reg[1] ; + wire [0:0]\gen_axi.write_cs_reg[1]_0 ; + wire [1:0]m_axi_wready; + wire [1:0]m_axi_wvalid; + wire [0:0]m_ready_d; + wire match; + wire \s_axi_awaddr[20] ; + wire [0:0]s_axi_awvalid; + wire [0:0]s_axi_wlast; + wire [0:0]s_axi_wready; + wire [0:0]s_axi_wvalid; + wire ss_wr_awready; + wire ss_wr_awvalid; + wire [0:0]wr_tmp_wready; + + system_design_xbar_1_axi_data_fifo_v2_1_8_axic_reg_srl_fifo wrouter_aw_fifo + (.SR(SR), + .aclk(aclk), + .\gen_axi.write_cs_reg[1] (\gen_axi.write_cs_reg[1] ), + .\gen_axi.write_cs_reg[1]_0 (\gen_axi.write_cs_reg[1]_0 ), + .m_axi_wready(m_axi_wready), + .m_axi_wvalid(m_axi_wvalid), + .m_ready_d(m_ready_d), + .match(match), + .\s_axi_awaddr[20] (\s_axi_awaddr[20] ), + .s_axi_awvalid(s_axi_awvalid), + .s_axi_wlast(s_axi_wlast), + .s_axi_wready(s_axi_wready), + .s_axi_wvalid(s_axi_wvalid), + .s_ready_i_reg_0(ss_wr_awready), + .ss_wr_awvalid(ss_wr_awvalid), + .wr_tmp_wready(wr_tmp_wready)); +endmodule + +(* ORIG_REF_NAME = "axi_data_fifo_v2_1_8_axic_reg_srl_fifo" *) +module system_design_xbar_1_axi_data_fifo_v2_1_8_axic_reg_srl_fifo + (s_ready_i_reg_0, + m_axi_wvalid, + \gen_axi.write_cs_reg[1] , + s_axi_wready, + aclk, + \s_axi_awaddr[20] , + SR, + match, + s_axi_wvalid, + s_axi_wlast, + \gen_axi.write_cs_reg[1]_0 , + s_axi_awvalid, + m_ready_d, + m_axi_wready, + wr_tmp_wready, + ss_wr_awvalid); + output s_ready_i_reg_0; + output [1:0]m_axi_wvalid; + output \gen_axi.write_cs_reg[1] ; + output [0:0]s_axi_wready; + input aclk; + input \s_axi_awaddr[20] ; + input [0:0]SR; + input match; + input [0:0]s_axi_wvalid; + input [0:0]s_axi_wlast; + input [0:0]\gen_axi.write_cs_reg[1]_0 ; + input [0:0]s_axi_awvalid; + input [0:0]m_ready_d; + input [1:0]m_axi_wready; + input [0:0]wr_tmp_wready; + input ss_wr_awvalid; + + wire \FSM_onehot_state[0]_i_1_n_0 ; + wire \FSM_onehot_state[1]_i_1_n_0 ; + wire \FSM_onehot_state[2]_i_1_n_0 ; + wire \FSM_onehot_state[3]_i_2_n_0 ; + (* RTL_KEEP = "yes" *) wire \FSM_onehot_state_reg_n_0_[2] ; + (* RTL_KEEP = "yes" *) wire \FSM_onehot_state_reg_n_0_[3] ; + wire [0:0]SR; + wire aclk; + wire areset_d1; + wire [2:0]fifoaddr; + wire \gen_axi.write_cs_reg[1] ; + wire [0:0]\gen_axi.write_cs_reg[1]_0 ; + wire \gen_rep[0].fifoaddr[0]_i_1_n_0 ; + wire \gen_rep[0].fifoaddr[1]_i_1_n_0 ; + wire \gen_rep[0].fifoaddr[2]_i_1_n_0 ; + wire \gen_srls[0].gen_rep[0].srl_nx1_n_0 ; + wire \gen_srls[0].gen_rep[1].srl_nx1_n_1 ; + wire \gen_srls[0].gen_rep[1].srl_nx1_n_2 ; + wire \gen_srls[0].gen_rep[1].srl_nx1_n_3 ; + wire load_s1; + wire m_avalid; + wire [1:0]m_axi_wready; + wire [1:0]m_axi_wvalid; + wire [0:0]m_ready_d; + wire m_valid_i; + wire m_valid_i_i_1_n_0; + wire match; + wire p_0_in5_out; + (* RTL_KEEP = "yes" *) wire p_0_in8_in; + wire p_1_in; + (* RTL_KEEP = "yes" *) wire p_9_in; + wire push; + wire \s_axi_awaddr[20] ; + wire [0:0]s_axi_awvalid; + wire [0:0]s_axi_wlast; + wire [0:0]s_axi_wready; + wire [0:0]s_axi_wvalid; + wire s_ready_i_i_1__2_n_0; + wire s_ready_i_reg_0; + wire ss_wr_awvalid; + wire [1:0]storage_data1; + wire \storage_data1[0]_i_1_n_0 ; + wire [0:0]wr_tmp_wready; + + LUT5 #( + .INIT(32'h00450000)) + \FSM_onehot_state[0]_i_1 + (.I0(p_9_in), + .I1(m_ready_d), + .I2(s_axi_awvalid), + .I3(\gen_srls[0].gen_rep[1].srl_nx1_n_3 ), + .I4(p_0_in8_in), + .O(\FSM_onehot_state[0]_i_1_n_0 )); + LUT5 #( + .INIT(32'h20202F20)) + \FSM_onehot_state[1]_i_1 + (.I0(s_axi_awvalid), + .I1(m_ready_d), + .I2(p_9_in), + .I3(p_0_in5_out), + .I4(p_0_in8_in), + .O(\FSM_onehot_state[1]_i_1_n_0 )); + LUT5 #( + .INIT(32'hB0B0B0BF)) + \FSM_onehot_state[2]_i_1 + (.I0(m_ready_d), + .I1(s_axi_awvalid), + .I2(p_9_in), + .I3(p_0_in5_out), + .I4(p_0_in8_in), + .O(\FSM_onehot_state[2]_i_1_n_0 )); + LUT6 #( + .INIT(64'hFFFFF844F844F844)) + \FSM_onehot_state[3]_i_1 + (.I0(\gen_srls[0].gen_rep[1].srl_nx1_n_3 ), + .I1(p_0_in8_in), + .I2(p_9_in), + .I3(ss_wr_awvalid), + .I4(\FSM_onehot_state_reg_n_0_[3] ), + .I5(p_0_in5_out), + .O(m_valid_i)); + LUT5 #( + .INIT(32'h0000AA20)) + \FSM_onehot_state[3]_i_2 + (.I0(p_0_in8_in), + .I1(m_ready_d), + .I2(s_axi_awvalid), + .I3(\gen_srls[0].gen_rep[1].srl_nx1_n_3 ), + .I4(p_9_in), + .O(\FSM_onehot_state[3]_i_2_n_0 )); + LUT6 #( + .INIT(64'h0000000000000400)) + \FSM_onehot_state[3]_i_5 + (.I0(fifoaddr[1]), + .I1(\gen_srls[0].gen_rep[1].srl_nx1_n_2 ), + .I2(fifoaddr[0]), + .I3(\FSM_onehot_state_reg_n_0_[3] ), + .I4(\gen_srls[0].gen_rep[1].srl_nx1_n_3 ), + .I5(fifoaddr[2]), + .O(p_0_in5_out)); + (* KEEP = "yes" *) + FDSE #( + .INIT(1'b1)) + \FSM_onehot_state_reg[0] + (.C(aclk), + .CE(m_valid_i), + .D(\FSM_onehot_state[0]_i_1_n_0 ), + .Q(p_9_in), + .S(areset_d1)); + (* KEEP = "yes" *) + FDRE #( + .INIT(1'b0)) + \FSM_onehot_state_reg[1] + (.C(aclk), + .CE(m_valid_i), + .D(\FSM_onehot_state[1]_i_1_n_0 ), + .Q(p_0_in8_in), + .R(areset_d1)); + (* KEEP = "yes" *) + FDRE #( + .INIT(1'b0)) + \FSM_onehot_state_reg[2] + (.C(aclk), + .CE(m_valid_i), + .D(\FSM_onehot_state[2]_i_1_n_0 ), + .Q(\FSM_onehot_state_reg_n_0_[2] ), + .R(areset_d1)); + (* KEEP = "yes" *) + FDRE #( + .INIT(1'b0)) + \FSM_onehot_state_reg[3] + (.C(aclk), + .CE(m_valid_i), + .D(\FSM_onehot_state[3]_i_2_n_0 ), + .Q(\FSM_onehot_state_reg_n_0_[3] ), + .R(areset_d1)); + FDRE areset_d1_reg + (.C(aclk), + .CE(1'b1), + .D(SR), + .Q(areset_d1), + .R(1'b0)); + LUT6 #( + .INIT(64'h0000000000800000)) + \gen_axi.write_cs[1]_i_2 + (.I0(s_axi_wvalid), + .I1(m_avalid), + .I2(s_axi_wlast), + .I3(storage_data1[0]), + .I4(storage_data1[1]), + .I5(\gen_axi.write_cs_reg[1]_0 ), + .O(\gen_axi.write_cs_reg[1] )); + LUT6 #( + .INIT(64'h371DDDDDC8E22222)) + \gen_rep[0].fifoaddr[0]_i_1 + (.I0(\FSM_onehot_state_reg_n_0_[3] ), + .I1(\gen_srls[0].gen_rep[1].srl_nx1_n_3 ), + .I2(p_0_in8_in), + .I3(s_ready_i_reg_0), + .I4(ss_wr_awvalid), + .I5(fifoaddr[0]), + .O(\gen_rep[0].fifoaddr[0]_i_1_n_0 )); + LUT5 #( + .INIT(32'hDBDD2422)) + \gen_rep[0].fifoaddr[1]_i_1 + (.I0(fifoaddr[0]), + .I1(\gen_srls[0].gen_rep[1].srl_nx1_n_2 ), + .I2(\gen_srls[0].gen_rep[1].srl_nx1_n_3 ), + .I3(\FSM_onehot_state_reg_n_0_[3] ), + .I4(fifoaddr[1]), + .O(\gen_rep[0].fifoaddr[1]_i_1_n_0 )); + LUT6 #( + .INIT(64'hF7EFF7F708100808)) + \gen_rep[0].fifoaddr[2]_i_1 + (.I0(fifoaddr[1]), + .I1(fifoaddr[0]), + .I2(\gen_srls[0].gen_rep[1].srl_nx1_n_2 ), + .I3(\gen_srls[0].gen_rep[1].srl_nx1_n_3 ), + .I4(\FSM_onehot_state_reg_n_0_[3] ), + .I5(fifoaddr[2]), + .O(\gen_rep[0].fifoaddr[2]_i_1_n_0 )); + (* syn_keep = "1" *) + FDSE \gen_rep[0].fifoaddr_reg[0] + (.C(aclk), + .CE(1'b1), + .D(\gen_rep[0].fifoaddr[0]_i_1_n_0 ), + .Q(fifoaddr[0]), + .S(SR)); + (* syn_keep = "1" *) + FDSE \gen_rep[0].fifoaddr_reg[1] + (.C(aclk), + .CE(1'b1), + .D(\gen_rep[0].fifoaddr[1]_i_1_n_0 ), + .Q(fifoaddr[1]), + .S(SR)); + (* syn_keep = "1" *) + FDSE \gen_rep[0].fifoaddr_reg[2] + (.C(aclk), + .CE(1'b1), + .D(\gen_rep[0].fifoaddr[2]_i_1_n_0 ), + .Q(fifoaddr[2]), + .S(SR)); + system_design_xbar_1_axi_data_fifo_v2_1_8_ndeep_srl__parameterized0 \gen_srls[0].gen_rep[0].srl_nx1 + (.aclk(aclk), + .fifoaddr(fifoaddr), + .push(push), + .\storage_data1_reg[0] (\gen_srls[0].gen_rep[0].srl_nx1_n_0 )); + system_design_xbar_1_axi_data_fifo_v2_1_8_ndeep_srl__parameterized1 \gen_srls[0].gen_rep[1].srl_nx1 + (.aclk(aclk), + .fifoaddr(fifoaddr), + .\gen_rep[0].fifoaddr_reg[0] (\gen_srls[0].gen_rep[1].srl_nx1_n_3 ), + .load_s1(load_s1), + .m_avalid(m_avalid), + .m_axi_wready(m_axi_wready), + .m_ready_d(m_ready_d), + .match(match), + .out0({p_0_in8_in,\FSM_onehot_state_reg_n_0_[3] }), + .push(push), + .\s_axi_awaddr[20] (\s_axi_awaddr[20] ), + .s_axi_awvalid(s_axi_awvalid), + .s_axi_wlast(s_axi_wlast), + .s_axi_wvalid(s_axi_wvalid), + .s_ready_i_reg(\gen_srls[0].gen_rep[1].srl_nx1_n_2 ), + .s_ready_i_reg_0(s_ready_i_reg_0), + .storage_data1(storage_data1), + .\storage_data1_reg[1] (\gen_srls[0].gen_rep[1].srl_nx1_n_1 ), + .wr_tmp_wready(wr_tmp_wready)); + (* SOFT_HLUTNM = "soft_lutpair115" *) + LUT4 #( + .INIT(16'h1000)) + \m_axi_wvalid[0]_INST_0 + (.I0(storage_data1[0]), + .I1(storage_data1[1]), + .I2(m_avalid), + .I3(s_axi_wvalid), + .O(m_axi_wvalid[0])); + (* SOFT_HLUTNM = "soft_lutpair115" *) + LUT4 #( + .INIT(16'h2000)) + \m_axi_wvalid[1]_INST_0 + (.I0(storage_data1[0]), + .I1(storage_data1[1]), + .I2(m_avalid), + .I3(s_axi_wvalid), + .O(m_axi_wvalid[1])); + LUT6 #( + .INIT(64'hFFFFF800F800F800)) + m_valid_i_i_1 + (.I0(\gen_srls[0].gen_rep[1].srl_nx1_n_3 ), + .I1(p_0_in8_in), + .I2(p_9_in), + .I3(ss_wr_awvalid), + .I4(\FSM_onehot_state_reg_n_0_[3] ), + .I5(p_0_in5_out), + .O(m_valid_i_i_1_n_0)); + FDRE m_valid_i_reg + (.C(aclk), + .CE(m_valid_i), + .D(m_valid_i_i_1_n_0), + .Q(m_avalid), + .R(areset_d1)); + LUT6 #( + .INIT(64'h08AA08A0080A0800)) + \s_axi_wready[0]_INST_0 + (.I0(m_avalid), + .I1(m_axi_wready[1]), + .I2(storage_data1[1]), + .I3(storage_data1[0]), + .I4(m_axi_wready[0]), + .I5(wr_tmp_wready), + .O(s_axi_wready)); + LUT6 #( + .INIT(64'hFFFFEFFFAAAAAAAA)) + s_ready_i_i_1__2 + (.I0(p_1_in), + .I1(\gen_srls[0].gen_rep[1].srl_nx1_n_2 ), + .I2(fifoaddr[1]), + .I3(fifoaddr[2]), + .I4(fifoaddr[0]), + .I5(s_ready_i_reg_0), + .O(s_ready_i_i_1__2_n_0)); + LUT3 #( + .INIT(8'hBA)) + s_ready_i_i_2 + (.I0(areset_d1), + .I1(\gen_srls[0].gen_rep[1].srl_nx1_n_3 ), + .I2(\FSM_onehot_state_reg_n_0_[3] ), + .O(p_1_in)); + FDRE s_ready_i_reg + (.C(aclk), + .CE(1'b1), + .D(s_ready_i_i_1__2_n_0), + .Q(s_ready_i_reg_0), + .R(SR)); + LUT4 #( + .INIT(16'h8F80)) + \storage_data1[0]_i_1 + (.I0(\FSM_onehot_state_reg_n_0_[3] ), + .I1(\gen_srls[0].gen_rep[0].srl_nx1_n_0 ), + .I2(load_s1), + .I3(storage_data1[0]), + .O(\storage_data1[0]_i_1_n_0 )); + LUT6 #( + .INIT(64'h0A0A0A0AFF0E0A0A)) + \storage_data1[1]_i_2 + (.I0(\FSM_onehot_state_reg_n_0_[3] ), + .I1(p_0_in8_in), + .I2(\gen_srls[0].gen_rep[1].srl_nx1_n_3 ), + .I3(p_9_in), + .I4(s_axi_awvalid), + .I5(m_ready_d), + .O(load_s1)); + FDRE \storage_data1_reg[0] + (.C(aclk), + .CE(1'b1), + .D(\storage_data1[0]_i_1_n_0 ), + .Q(storage_data1[0]), + .R(1'b0)); + FDRE \storage_data1_reg[1] + (.C(aclk), + .CE(1'b1), + .D(\gen_srls[0].gen_rep[1].srl_nx1_n_1 ), + .Q(storage_data1[1]), + .R(1'b0)); +endmodule + +(* ORIG_REF_NAME = "axi_data_fifo_v2_1_8_ndeep_srl" *) +module system_design_xbar_1_axi_data_fifo_v2_1_8_ndeep_srl__parameterized0 + (\storage_data1_reg[0] , + push, + fifoaddr, + aclk); + output \storage_data1_reg[0] ; + input push; + input [2:0]fifoaddr; + input aclk; + + wire aclk; + wire [2:0]fifoaddr; + wire push; + wire \storage_data1_reg[0] ; + + (* BOX_TYPE = "PRIMITIVE" *) + (* XILINX_LEGACY_PRIM = "SRLC32E" *) + (* srl_bus_name = "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[0].srl_nx1/gen_primitive_shifter.gen_srls " *) + (* srl_name = "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[0].srl_nx1/gen_primitive_shifter.gen_srls[0].srl_inst " *) + SRL16E #( + .INIT(16'h0000), + .IS_CLK_INVERTED(1'b0)) + \gen_primitive_shifter.gen_srls[0].srl_inst + (.A0(fifoaddr[0]), + .A1(fifoaddr[1]), + .A2(fifoaddr[2]), + .A3(1'b0), + .CE(push), + .CLK(aclk), + .D(1'b0), + .Q(\storage_data1_reg[0] )); +endmodule + +(* ORIG_REF_NAME = "axi_data_fifo_v2_1_8_ndeep_srl" *) +module system_design_xbar_1_axi_data_fifo_v2_1_8_ndeep_srl__parameterized1 + (push, + \storage_data1_reg[1] , + s_ready_i_reg, + \gen_rep[0].fifoaddr_reg[0] , + \s_axi_awaddr[20] , + fifoaddr, + aclk, + match, + out0, + load_s1, + storage_data1, + s_ready_i_reg_0, + m_ready_d, + s_axi_awvalid, + s_axi_wvalid, + m_avalid, + s_axi_wlast, + wr_tmp_wready, + m_axi_wready); + output push; + output \storage_data1_reg[1] ; + output s_ready_i_reg; + output \gen_rep[0].fifoaddr_reg[0] ; + input \s_axi_awaddr[20] ; + input [2:0]fifoaddr; + input aclk; + input match; + input [1:0]out0; + input load_s1; + input [1:0]storage_data1; + input s_ready_i_reg_0; + input [0:0]m_ready_d; + input [0:0]s_axi_awvalid; + input [0:0]s_axi_wvalid; + input m_avalid; + input [0:0]s_axi_wlast; + input [0:0]wr_tmp_wready; + input [1:0]m_axi_wready; + + wire \FSM_onehot_state[3]_i_6_n_0 ; + wire aclk; + wire [2:0]fifoaddr; + wire \gen_rep[0].fifoaddr_reg[0] ; + wire load_s1; + wire m_avalid; + wire [1:0]m_axi_wready; + wire [0:0]m_ready_d; + wire match; + wire [1:0]out0; + wire p_2_out; + wire push; + wire \s_axi_awaddr[20] ; + wire [0:0]s_axi_awvalid; + wire [0:0]s_axi_wlast; + wire [0:0]s_axi_wvalid; + wire s_ready_i_reg; + wire s_ready_i_reg_0; + wire [1:0]storage_data1; + wire \storage_data1_reg[1] ; + wire [0:0]wr_tmp_wready; + + LUT4 #( + .INIT(16'hBFFF)) + \FSM_onehot_state[3]_i_3 + (.I0(\FSM_onehot_state[3]_i_6_n_0 ), + .I1(s_axi_wvalid), + .I2(m_avalid), + .I3(s_axi_wlast), + .O(\gen_rep[0].fifoaddr_reg[0] )); + LUT5 #( + .INIT(32'hF503F5F3)) + \FSM_onehot_state[3]_i_6 + (.I0(wr_tmp_wready), + .I1(m_axi_wready[0]), + .I2(storage_data1[0]), + .I3(storage_data1[1]), + .I4(m_axi_wready[1]), + .O(\FSM_onehot_state[3]_i_6_n_0 )); + (* BOX_TYPE = "PRIMITIVE" *) + (* XILINX_LEGACY_PRIM = "SRLC32E" *) + (* srl_bus_name = "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[1].srl_nx1/gen_primitive_shifter.gen_srls " *) + (* srl_name = "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[1].srl_nx1/gen_primitive_shifter.gen_srls[0].srl_inst " *) + SRL16E #( + .INIT(16'h0000), + .IS_CLK_INVERTED(1'b0)) + \gen_primitive_shifter.gen_srls[0].srl_inst + (.A0(fifoaddr[0]), + .A1(fifoaddr[1]), + .A2(fifoaddr[2]), + .A3(1'b0), + .CE(push), + .CLK(aclk), + .D(\s_axi_awaddr[20] ), + .Q(p_2_out)); + LUT1 #( + .INIT(2'h1)) + \gen_primitive_shifter.gen_srls[0].srl_inst_i_1 + (.I0(s_ready_i_reg), + .O(push)); + LUT6 #( + .INIT(64'hFF07FFFFFF77FFFF)) + \gen_primitive_shifter.gen_srls[0].srl_inst_i_2 + (.I0(out0[1]), + .I1(\gen_rep[0].fifoaddr_reg[0] ), + .I2(s_ready_i_reg_0), + .I3(m_ready_d), + .I4(s_axi_awvalid), + .I5(out0[0]), + .O(s_ready_i_reg)); + LUT5 #( + .INIT(32'hC5FFC500)) + \storage_data1[1]_i_1 + (.I0(match), + .I1(p_2_out), + .I2(out0[0]), + .I3(load_s1), + .I4(storage_data1[1]), + .O(\storage_data1_reg[1] )); +endmodule + +(* ORIG_REF_NAME = "axi_register_slice_v2_1_9_axi_register_slice" *) +module system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice + (p_80_out, + m_axi_bready, + p_74_out, + \m_axi_rready[0] , + \gen_master_slots[0].w_issuing_cnt_reg[0] , + \gen_no_arbiter.m_target_hot_i_reg[2] , + \gen_no_arbiter.m_target_hot_i_reg[2]_0 , + Q, + \gen_master_slots[0].r_issuing_cnt_reg[1] , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] , + \aresetn_d_reg[1] , + aclk, + p_1_in, + m_axi_bvalid, + s_axi_bready, + chosen, + \aresetn_d_reg[1]_0 , + w_issuing_cnt, + \m_ready_d_reg[1] , + m_axi_rvalid, + chosen_0, + s_axi_rready, + r_issuing_cnt, + m_axi_rid, + m_axi_rlast, + m_axi_rresp, + m_axi_rdata, + D); + output p_80_out; + output [0:0]m_axi_bready; + output p_74_out; + output \m_axi_rready[0] ; + output \gen_master_slots[0].w_issuing_cnt_reg[0] ; + output \gen_no_arbiter.m_target_hot_i_reg[2] ; + output \gen_no_arbiter.m_target_hot_i_reg[2]_0 ; + output [46:0]Q; + output \gen_master_slots[0].r_issuing_cnt_reg[1] ; + output [13:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + input \aresetn_d_reg[1] ; + input aclk; + input p_1_in; + input [0:0]m_axi_bvalid; + input [0:0]s_axi_bready; + input [0:0]chosen; + input \aresetn_d_reg[1]_0 ; + input [1:0]w_issuing_cnt; + input \m_ready_d_reg[1] ; + input [0:0]m_axi_rvalid; + input [0:0]chosen_0; + input [0:0]s_axi_rready; + input [1:0]r_issuing_cnt; + input [11:0]m_axi_rid; + input [0:0]m_axi_rlast; + input [1:0]m_axi_rresp; + input [31:0]m_axi_rdata; + input [13:0]D; + + wire [13:0]D; + wire [46:0]Q; + wire aclk; + wire \aresetn_d_reg[1] ; + wire \aresetn_d_reg[1]_0 ; + wire [0:0]chosen; + wire [0:0]chosen_0; + wire \gen_master_slots[0].r_issuing_cnt_reg[1] ; + wire \gen_master_slots[0].w_issuing_cnt_reg[0] ; + wire [13:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + wire \gen_no_arbiter.m_target_hot_i_reg[2] ; + wire \gen_no_arbiter.m_target_hot_i_reg[2]_0 ; + wire [0:0]m_axi_bready; + wire [0:0]m_axi_bvalid; + wire [31:0]m_axi_rdata; + wire [11:0]m_axi_rid; + wire [0:0]m_axi_rlast; + wire \m_axi_rready[0] ; + wire [1:0]m_axi_rresp; + wire [0:0]m_axi_rvalid; + wire \m_ready_d_reg[1] ; + wire p_1_in; + wire p_74_out; + wire p_80_out; + wire [1:0]r_issuing_cnt; + wire [0:0]s_axi_bready; + wire [0:0]s_axi_rready; + wire [1:0]w_issuing_cnt; + + system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1_7 b_pipe + (.D(D), + .aclk(aclk), + .\aresetn_d_reg[1] (\aresetn_d_reg[1] ), + .\aresetn_d_reg[1]_0 (\aresetn_d_reg[1]_0 ), + .chosen(chosen), + .\gen_master_slots[0].w_issuing_cnt_reg[0] (\gen_master_slots[0].w_issuing_cnt_reg[0] ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] (\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ), + .\gen_no_arbiter.m_target_hot_i_reg[2] (\gen_no_arbiter.m_target_hot_i_reg[2] ), + .m_axi_bready(m_axi_bready), + .m_axi_bvalid(m_axi_bvalid), + .\m_payload_i_reg[0]_0 (p_80_out), + .\m_ready_d_reg[1] (\m_ready_d_reg[1] ), + .p_1_in(p_1_in), + .s_axi_bready(s_axi_bready), + .w_issuing_cnt(w_issuing_cnt)); + system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2_8 r_pipe + (.Q(Q), + .aclk(aclk), + .\aresetn_d_reg[1] (\aresetn_d_reg[1] ), + .chosen_0(chosen_0), + .\gen_master_slots[0].r_issuing_cnt_reg[1] (\gen_master_slots[0].r_issuing_cnt_reg[1] ), + .\gen_no_arbiter.m_target_hot_i_reg[2] (\gen_no_arbiter.m_target_hot_i_reg[2]_0 ), + .m_axi_rdata(m_axi_rdata), + .m_axi_rid(m_axi_rid), + .m_axi_rlast(m_axi_rlast), + .\m_axi_rready[0] (\m_axi_rready[0] ), + .m_axi_rresp(m_axi_rresp), + .m_axi_rvalid(m_axi_rvalid), + .m_valid_i_reg_0(p_74_out), + .p_1_in(p_1_in), + .r_issuing_cnt(r_issuing_cnt), + .s_axi_rready(s_axi_rready)); +endmodule + +(* ORIG_REF_NAME = "axi_register_slice_v2_1_9_axi_register_slice" *) +module system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice_1 + (p_60_out, + m_axi_bready, + p_1_in, + p_54_out, + \m_axi_rready[1] , + s_axi_bresp, + s_axi_rresp, + Q, + s_axi_rdata, + \aresetn_d_reg[1] , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] , + \aresetn_d_reg[1]_0 , + aclk, + aresetn, + m_axi_bvalid, + chosen, + s_axi_bready, + \aresetn_d_reg[1]_1 , + \m_payload_i_reg[1] , + p_38_out, + \m_payload_i_reg[32] , + chosen_0, + p_32_out, + m_axi_rvalid, + s_axi_rready, + m_axi_rid, + m_axi_rlast, + m_axi_rresp, + m_axi_rdata, + D); + output p_60_out; + output [0:0]m_axi_bready; + output p_1_in; + output p_54_out; + output \m_axi_rready[1] ; + output [1:0]s_axi_bresp; + output [0:0]s_axi_rresp; + output [33:0]Q; + output [11:0]s_axi_rdata; + output \aresetn_d_reg[1] ; + output [11:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + input \aresetn_d_reg[1]_0 ; + input aclk; + input aresetn; + input [0:0]m_axi_bvalid; + input [1:0]chosen; + input [0:0]s_axi_bready; + input \aresetn_d_reg[1]_1 ; + input [1:0]\m_payload_i_reg[1] ; + input p_38_out; + input [12:0]\m_payload_i_reg[32] ; + input [1:0]chosen_0; + input p_32_out; + input [0:0]m_axi_rvalid; + input [0:0]s_axi_rready; + input [11:0]m_axi_rid; + input [0:0]m_axi_rlast; + input [1:0]m_axi_rresp; + input [31:0]m_axi_rdata; + input [13:0]D; + + wire [13:0]D; + wire [33:0]Q; + wire aclk; + wire aresetn; + wire \aresetn_d_reg[1] ; + wire \aresetn_d_reg[1]_0 ; + wire \aresetn_d_reg[1]_1 ; + wire [1:0]chosen; + wire [1:0]chosen_0; + wire [11:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + wire [0:0]m_axi_bready; + wire [0:0]m_axi_bvalid; + wire [31:0]m_axi_rdata; + wire [11:0]m_axi_rid; + wire [0:0]m_axi_rlast; + wire \m_axi_rready[1] ; + wire [1:0]m_axi_rresp; + wire [0:0]m_axi_rvalid; + wire [1:0]\m_payload_i_reg[1] ; + wire [12:0]\m_payload_i_reg[32] ; + wire p_1_in; + wire p_32_out; + wire p_38_out; + wire p_54_out; + wire p_60_out; + wire [0:0]s_axi_bready; + wire [1:0]s_axi_bresp; + wire [11:0]s_axi_rdata; + wire [0:0]s_axi_rready; + wire [0:0]s_axi_rresp; + + system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1_5 b_pipe + (.D(D), + .aclk(aclk), + .aresetn(aresetn), + .\aresetn_d_reg[1] (\aresetn_d_reg[1] ), + .\aresetn_d_reg[1]_0 (\aresetn_d_reg[1]_0 ), + .\aresetn_d_reg[1]_1 (\aresetn_d_reg[1]_1 ), + .chosen(chosen), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] (\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ), + .m_axi_bready(m_axi_bready), + .m_axi_bvalid(m_axi_bvalid), + .\m_payload_i_reg[0]_0 (p_60_out), + .\m_payload_i_reg[1]_0 (\m_payload_i_reg[1] ), + .p_1_in(p_1_in), + .p_38_out(p_38_out), + .s_axi_bready(s_axi_bready), + .s_axi_bresp(s_axi_bresp)); + system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2_6 r_pipe + (.Q(Q), + .aclk(aclk), + .\aresetn_d_reg[1] (\aresetn_d_reg[1]_0 ), + .chosen_0(chosen_0), + .m_axi_rdata(m_axi_rdata), + .m_axi_rid(m_axi_rid), + .m_axi_rlast(m_axi_rlast), + .\m_axi_rready[1] (\m_axi_rready[1] ), + .m_axi_rresp(m_axi_rresp), + .m_axi_rvalid(m_axi_rvalid), + .\m_payload_i_reg[0]_0 (p_54_out), + .\m_payload_i_reg[32]_0 (\m_payload_i_reg[32] ), + .p_1_in(p_1_in), + .p_32_out(p_32_out), + .s_axi_rdata(s_axi_rdata), + .s_axi_rready(s_axi_rready), + .s_axi_rresp(s_axi_rresp)); +endmodule + +(* ORIG_REF_NAME = "axi_register_slice_v2_1_9_axi_register_slice" *) +module system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice_2 + (p_38_out, + m_valid_i_reg, + mi_bready_2, + p_32_out, + mi_rready_2, + s_ready_i_reg, + s_axi_bid, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] , + Q, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2 , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3 , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4 , + \gen_no_arbiter.m_target_hot_i_reg[2] , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_5 , + \gen_master_slots[2].r_issuing_cnt_reg[16] , + aclk, + p_1_in, + \aresetn_d_reg[0] , + p_21_in, + chosen, + s_axi_bready, + \m_payload_i_reg[11] , + \chosen_reg[1] , + p_15_in, + s_axi_rready, + chosen_0, + \gen_axi.s_axi_rid_i_reg[11] , + p_17_in, + \gen_no_arbiter.m_valid_i_reg , + p_11_in, + D); + output p_38_out; + output m_valid_i_reg; + output mi_bready_2; + output p_32_out; + output mi_rready_2; + output s_ready_i_reg; + output [5:0]s_axi_bid; + output \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + output [5:0]Q; + output \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 ; + output \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 ; + output \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2 ; + output \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3 ; + output \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4 ; + output \gen_no_arbiter.m_target_hot_i_reg[2] ; + output [12:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_5 ; + output \gen_master_slots[2].r_issuing_cnt_reg[16] ; + input aclk; + input p_1_in; + input \aresetn_d_reg[0] ; + input p_21_in; + input [0:0]chosen; + input [0:0]s_axi_bready; + input [11:0]\m_payload_i_reg[11] ; + input \chosen_reg[1] ; + input p_15_in; + input [0:0]s_axi_rready; + input [0:0]chosen_0; + input [11:0]\gen_axi.s_axi_rid_i_reg[11] ; + input p_17_in; + input \gen_no_arbiter.m_valid_i_reg ; + input p_11_in; + input [11:0]D; + + wire [11:0]D; + wire [5:0]Q; + wire aclk; + wire \aresetn_d_reg[0] ; + wire [0:0]chosen; + wire [0:0]chosen_0; + wire \chosen_reg[1] ; + wire [11:0]\gen_axi.s_axi_rid_i_reg[11] ; + wire \gen_master_slots[2].r_issuing_cnt_reg[16] ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4 ; + wire [12:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_5 ; + wire \gen_no_arbiter.m_target_hot_i_reg[2] ; + wire \gen_no_arbiter.m_valid_i_reg ; + wire [11:0]\m_payload_i_reg[11] ; + wire m_valid_i_reg; + wire mi_bready_2; + wire mi_rready_2; + wire p_11_in; + wire p_15_in; + wire p_17_in; + wire p_1_in; + wire p_21_in; + wire p_32_out; + wire p_38_out; + wire [5:0]s_axi_bid; + wire [0:0]s_axi_bready; + wire [0:0]s_axi_rready; + wire s_ready_i_reg; + + system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1 b_pipe + (.D(D), + .Q(Q), + .aclk(aclk), + .\aresetn_d_reg[0] (\aresetn_d_reg[0] ), + .chosen(chosen), + .\chosen_reg[1] (\chosen_reg[1] ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] (\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 (\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 (\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2 (\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3 (\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3 ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4 (\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4 ), + .\m_payload_i_reg[11]_0 (\m_payload_i_reg[11] ), + .\m_payload_i_reg[2]_0 (p_38_out), + .m_valid_i_reg_0(m_valid_i_reg), + .mi_bready_2(mi_bready_2), + .p_1_in(p_1_in), + .p_21_in(p_21_in), + .s_axi_bid(s_axi_bid), + .s_axi_bready(s_axi_bready), + .s_ready_i_reg_0(s_ready_i_reg)); + system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2 r_pipe + (.aclk(aclk), + .\aresetn_d_reg[1] (m_valid_i_reg), + .chosen_0(chosen_0), + .\gen_axi.s_axi_rid_i_reg[11] (\gen_axi.s_axi_rid_i_reg[11] ), + .\gen_master_slots[2].r_issuing_cnt_reg[16] (\gen_master_slots[2].r_issuing_cnt_reg[16] ), + .\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] (\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_5 ), + .\gen_no_arbiter.m_target_hot_i_reg[2] (\gen_no_arbiter.m_target_hot_i_reg[2] ), + .\gen_no_arbiter.m_valid_i_reg (\gen_no_arbiter.m_valid_i_reg ), + .m_valid_i_reg_0(p_32_out), + .p_11_in(p_11_in), + .p_15_in(p_15_in), + .p_17_in(p_17_in), + .p_1_in(p_1_in), + .s_axi_rready(s_axi_rready), + .\skid_buffer_reg[34]_0 (mi_rready_2)); +endmodule + +(* ORIG_REF_NAME = "axi_register_slice_v2_1_9_axic_register_slice" *) +module system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1 + (\m_payload_i_reg[2]_0 , + m_valid_i_reg_0, + mi_bready_2, + s_ready_i_reg_0, + s_axi_bid, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2 , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3 , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4 , + Q, + aclk, + p_1_in, + \aresetn_d_reg[0] , + p_21_in, + chosen, + s_axi_bready, + \m_payload_i_reg[11]_0 , + \chosen_reg[1] , + D); + output \m_payload_i_reg[2]_0 ; + output m_valid_i_reg_0; + output mi_bready_2; + output s_ready_i_reg_0; + output [5:0]s_axi_bid; + output \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + output \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 ; + output \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 ; + output \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2 ; + output \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3 ; + output \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4 ; + output [5:0]Q; + input aclk; + input p_1_in; + input \aresetn_d_reg[0] ; + input p_21_in; + input [0:0]chosen; + input [0:0]s_axi_bready; + input [11:0]\m_payload_i_reg[11]_0 ; + input \chosen_reg[1] ; + input [11:0]D; + + wire [11:0]D; + wire [5:0]Q; + wire aclk; + wire \aresetn_d_reg[0] ; + wire [0:0]chosen; + wire \chosen_reg[1] ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3 ; + wire \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4 ; + wire \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in ; + wire [11:0]\m_payload_i_reg[11]_0 ; + wire \m_payload_i_reg[2]_0 ; + wire m_valid_i_i_1__1_n_0; + wire m_valid_i_reg_0; + wire mi_bready_2; + wire p_1_in; + wire p_21_in; + wire [5:0]s_axi_bid; + wire [0:0]s_axi_bready; + wire s_ready_i_i_1__5_n_0; + wire s_ready_i_reg_0; + wire [33:25]st_mr_bid; + + FDRE #( + .INIT(1'b0)) + \aresetn_d_reg[1] + (.C(aclk), + .CE(1'b1), + .D(\aresetn_d_reg[0] ), + .Q(s_ready_i_reg_0), + .R(1'b0)); + LUT1 #( + .INIT(2'h1)) + \m_payload_i[13]_i_1__0 + (.I0(\m_payload_i_reg[2]_0 ), + .O(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in )); + FDRE \m_payload_i_reg[10] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in ), + .D(D[8]), + .Q(st_mr_bid[32]), + .R(1'b0)); + FDRE \m_payload_i_reg[11] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in ), + .D(D[9]), + .Q(st_mr_bid[33]), + .R(1'b0)); + FDRE \m_payload_i_reg[12] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in ), + .D(D[10]), + .Q(Q[4]), + .R(1'b0)); + FDRE \m_payload_i_reg[13] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in ), + .D(D[11]), + .Q(Q[5]), + .R(1'b0)); + FDRE \m_payload_i_reg[2] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in ), + .D(D[0]), + .Q(Q[0]), + .R(1'b0)); + FDRE \m_payload_i_reg[3] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in ), + .D(D[1]), + .Q(st_mr_bid[25]), + .R(1'b0)); + FDRE \m_payload_i_reg[4] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in ), + .D(D[2]), + .Q(Q[1]), + .R(1'b0)); + FDRE \m_payload_i_reg[5] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in ), + .D(D[3]), + .Q(st_mr_bid[27]), + .R(1'b0)); + FDRE \m_payload_i_reg[6] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in ), + .D(D[4]), + .Q(Q[2]), + .R(1'b0)); + FDRE \m_payload_i_reg[7] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in ), + .D(D[5]), + .Q(st_mr_bid[29]), + .R(1'b0)); + FDRE \m_payload_i_reg[8] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in ), + .D(D[6]), + .Q(st_mr_bid[30]), + .R(1'b0)); + FDRE \m_payload_i_reg[9] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in ), + .D(D[7]), + .Q(Q[3]), + .R(1'b0)); + LUT5 #( + .INIT(32'h8BBBBBBB)) + m_valid_i_i_1__1 + (.I0(p_21_in), + .I1(mi_bready_2), + .I2(s_axi_bready), + .I3(chosen), + .I4(\m_payload_i_reg[2]_0 ), + .O(m_valid_i_i_1__1_n_0)); + LUT1 #( + .INIT(2'h1)) + m_valid_i_i_1__5 + (.I0(s_ready_i_reg_0), + .O(m_valid_i_reg_0)); + FDRE m_valid_i_reg + (.C(aclk), + .CE(1'b1), + .D(m_valid_i_i_1__1_n_0), + .Q(\m_payload_i_reg[2]_0 ), + .R(m_valid_i_reg_0)); + LUT1 #( + .INIT(2'h1)) + \s_axi_bid[1]_INST_0 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ), + .O(s_axi_bid[0])); + LUT6 #( + .INIT(64'hF5030303F5F3F3F3)) + \s_axi_bid[1]_INST_0_i_1 + (.I0(st_mr_bid[25]), + .I1(\m_payload_i_reg[11]_0 [0]), + .I2(\chosen_reg[1] ), + .I3(chosen), + .I4(\m_payload_i_reg[2]_0 ), + .I5(\m_payload_i_reg[11]_0 [6]), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] )); + LUT1 #( + .INIT(2'h1)) + \s_axi_bid[3]_INST_0 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 ), + .O(s_axi_bid[1])); + LUT6 #( + .INIT(64'hF3050505F3F5F5F5)) + \s_axi_bid[3]_INST_0_i_1 + (.I0(\m_payload_i_reg[11]_0 [1]), + .I1(st_mr_bid[27]), + .I2(\chosen_reg[1] ), + .I3(chosen), + .I4(\m_payload_i_reg[2]_0 ), + .I5(\m_payload_i_reg[11]_0 [7]), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0 )); + LUT1 #( + .INIT(2'h1)) + \s_axi_bid[5]_INST_0 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 ), + .O(s_axi_bid[2])); + LUT6 #( + .INIT(64'hF3050505F3F5F5F5)) + \s_axi_bid[5]_INST_0_i_1 + (.I0(\m_payload_i_reg[11]_0 [2]), + .I1(st_mr_bid[29]), + .I2(\chosen_reg[1] ), + .I3(chosen), + .I4(\m_payload_i_reg[2]_0 ), + .I5(\m_payload_i_reg[11]_0 [8]), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1 )); + LUT1 #( + .INIT(2'h1)) + \s_axi_bid[6]_INST_0 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2 ), + .O(s_axi_bid[3])); + LUT6 #( + .INIT(64'hF3050505F3F5F5F5)) + \s_axi_bid[6]_INST_0_i_1 + (.I0(\m_payload_i_reg[11]_0 [3]), + .I1(st_mr_bid[30]), + .I2(\chosen_reg[1] ), + .I3(chosen), + .I4(\m_payload_i_reg[2]_0 ), + .I5(\m_payload_i_reg[11]_0 [9]), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2 )); + LUT1 #( + .INIT(2'h1)) + \s_axi_bid[8]_INST_0 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3 ), + .O(s_axi_bid[4])); + LUT6 #( + .INIT(64'hF5030303F5F3F3F3)) + \s_axi_bid[8]_INST_0_i_1 + (.I0(st_mr_bid[32]), + .I1(\m_payload_i_reg[11]_0 [4]), + .I2(\chosen_reg[1] ), + .I3(chosen), + .I4(\m_payload_i_reg[2]_0 ), + .I5(\m_payload_i_reg[11]_0 [10]), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3 )); + LUT1 #( + .INIT(2'h1)) + \s_axi_bid[9]_INST_0 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4 ), + .O(s_axi_bid[5])); + LUT6 #( + .INIT(64'hF3050505F3F5F5F5)) + \s_axi_bid[9]_INST_0_i_1 + (.I0(\m_payload_i_reg[11]_0 [5]), + .I1(st_mr_bid[33]), + .I2(\chosen_reg[1] ), + .I3(chosen), + .I4(\m_payload_i_reg[2]_0 ), + .I5(\m_payload_i_reg[11]_0 [11]), + .O(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4 )); + LUT5 #( + .INIT(32'hB111FFFF)) + s_ready_i_i_1__5 + (.I0(\m_payload_i_reg[2]_0 ), + .I1(p_21_in), + .I2(chosen), + .I3(s_axi_bready), + .I4(s_ready_i_reg_0), + .O(s_ready_i_i_1__5_n_0)); + FDRE s_ready_i_reg + (.C(aclk), + .CE(1'b1), + .D(s_ready_i_i_1__5_n_0), + .Q(mi_bready_2), + .R(p_1_in)); +endmodule + +(* ORIG_REF_NAME = "axi_register_slice_v2_1_9_axic_register_slice" *) +module system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1_5 + (\m_payload_i_reg[0]_0 , + m_axi_bready, + p_1_in, + s_axi_bresp, + \aresetn_d_reg[1] , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] , + \aresetn_d_reg[1]_0 , + aclk, + aresetn, + m_axi_bvalid, + chosen, + s_axi_bready, + \aresetn_d_reg[1]_1 , + \m_payload_i_reg[1]_0 , + p_38_out, + D); + output \m_payload_i_reg[0]_0 ; + output [0:0]m_axi_bready; + output p_1_in; + output [1:0]s_axi_bresp; + output \aresetn_d_reg[1] ; + output [11:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + input \aresetn_d_reg[1]_0 ; + input aclk; + input aresetn; + input [0:0]m_axi_bvalid; + input [1:0]chosen; + input [0:0]s_axi_bready; + input \aresetn_d_reg[1]_1 ; + input [1:0]\m_payload_i_reg[1]_0 ; + input p_38_out; + input [13:0]D; + + wire [13:0]D; + wire aclk; + wire aresetn; + wire \aresetn_d_reg[1] ; + wire \aresetn_d_reg[1]_0 ; + wire \aresetn_d_reg[1]_1 ; + wire [1:0]chosen; + wire [11:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + wire \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4 ; + wire [0:0]m_axi_bready; + wire [0:0]m_axi_bvalid; + wire \m_payload_i_reg[0]_0 ; + wire [1:0]\m_payload_i_reg[1]_0 ; + wire m_valid_i_i_1__0_n_0; + wire [1:1]p_0_in; + wire p_1_in; + wire p_38_out; + wire [0:0]s_axi_bready; + wire [1:0]s_axi_bresp; + wire s_ready_i_i_2__0_n_0; + wire [4:3]st_mr_bmesg; + + LUT2 #( + .INIT(4'h8)) + \aresetn_d[1]_i_1 + (.I0(p_0_in), + .I1(aresetn), + .O(\aresetn_d_reg[1] )); + FDRE #( + .INIT(1'b0)) + \aresetn_d_reg[0] + (.C(aclk), + .CE(1'b1), + .D(aresetn), + .Q(p_0_in), + .R(1'b0)); + LUT1 #( + .INIT(2'h1)) + \m_payload_i[13]_i_1 + (.I0(\m_payload_i_reg[0]_0 ), + .O(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4 )); + FDRE \m_payload_i_reg[0] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4 ), + .D(D[0]), + .Q(st_mr_bmesg[3]), + .R(1'b0)); + FDRE \m_payload_i_reg[10] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4 ), + .D(D[10]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [8]), + .R(1'b0)); + FDRE \m_payload_i_reg[11] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4 ), + .D(D[11]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [9]), + .R(1'b0)); + FDRE \m_payload_i_reg[12] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4 ), + .D(D[12]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [10]), + .R(1'b0)); + FDRE \m_payload_i_reg[13] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4 ), + .D(D[13]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [11]), + .R(1'b0)); + FDRE \m_payload_i_reg[1] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4 ), + .D(D[1]), + .Q(st_mr_bmesg[4]), + .R(1'b0)); + FDRE \m_payload_i_reg[2] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4 ), + .D(D[2]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [0]), + .R(1'b0)); + FDRE \m_payload_i_reg[3] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4 ), + .D(D[3]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [1]), + .R(1'b0)); + FDRE \m_payload_i_reg[4] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4 ), + .D(D[4]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [2]), + .R(1'b0)); + FDRE \m_payload_i_reg[5] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4 ), + .D(D[5]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [3]), + .R(1'b0)); + FDRE \m_payload_i_reg[6] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4 ), + .D(D[6]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [4]), + .R(1'b0)); + FDRE \m_payload_i_reg[7] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4 ), + .D(D[7]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [5]), + .R(1'b0)); + FDRE \m_payload_i_reg[8] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4 ), + .D(D[8]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [6]), + .R(1'b0)); + FDRE \m_payload_i_reg[9] + (.C(aclk), + .CE(\gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4 ), + .D(D[9]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [7]), + .R(1'b0)); + LUT5 #( + .INIT(32'hAAAA3FFF)) + m_valid_i_i_1__0 + (.I0(m_axi_bvalid), + .I1(\m_payload_i_reg[0]_0 ), + .I2(chosen[0]), + .I3(s_axi_bready), + .I4(m_axi_bready), + .O(m_valid_i_i_1__0_n_0)); + FDRE m_valid_i_reg + (.C(aclk), + .CE(1'b1), + .D(m_valid_i_i_1__0_n_0), + .Q(\m_payload_i_reg[0]_0 ), + .R(\aresetn_d_reg[1]_0 )); + LUT6 #( + .INIT(64'h0CCCFAAAFAAAFAAA)) + \s_axi_bresp[0]_INST_0 + (.I0(\m_payload_i_reg[1]_0 [0]), + .I1(st_mr_bmesg[3]), + .I2(p_38_out), + .I3(chosen[1]), + .I4(chosen[0]), + .I5(\m_payload_i_reg[0]_0 ), + .O(s_axi_bresp[0])); + LUT6 #( + .INIT(64'h0FFFACCCACCCACCC)) + \s_axi_bresp[1]_INST_0 + (.I0(st_mr_bmesg[4]), + .I1(\m_payload_i_reg[1]_0 [1]), + .I2(\m_payload_i_reg[0]_0 ), + .I3(chosen[0]), + .I4(p_38_out), + .I5(chosen[1]), + .O(s_axi_bresp[1])); + LUT1 #( + .INIT(2'h1)) + s_ready_i_i_1__3 + (.I0(p_0_in), + .O(p_1_in)); + LUT5 #( + .INIT(32'hB111FFFF)) + s_ready_i_i_2__0 + (.I0(\m_payload_i_reg[0]_0 ), + .I1(m_axi_bvalid), + .I2(chosen[0]), + .I3(s_axi_bready), + .I4(\aresetn_d_reg[1]_1 ), + .O(s_ready_i_i_2__0_n_0)); + FDRE s_ready_i_reg + (.C(aclk), + .CE(1'b1), + .D(s_ready_i_i_2__0_n_0), + .Q(m_axi_bready), + .R(p_1_in)); +endmodule + +(* ORIG_REF_NAME = "axi_register_slice_v2_1_9_axic_register_slice" *) +module system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1_7 + (\m_payload_i_reg[0]_0 , + m_axi_bready, + \gen_master_slots[0].w_issuing_cnt_reg[0] , + \gen_no_arbiter.m_target_hot_i_reg[2] , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] , + \aresetn_d_reg[1] , + aclk, + p_1_in, + m_axi_bvalid, + s_axi_bready, + chosen, + \aresetn_d_reg[1]_0 , + w_issuing_cnt, + \m_ready_d_reg[1] , + D); + output \m_payload_i_reg[0]_0 ; + output [0:0]m_axi_bready; + output \gen_master_slots[0].w_issuing_cnt_reg[0] ; + output \gen_no_arbiter.m_target_hot_i_reg[2] ; + output [13:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + input \aresetn_d_reg[1] ; + input aclk; + input p_1_in; + input [0:0]m_axi_bvalid; + input [0:0]s_axi_bready; + input [0:0]chosen; + input \aresetn_d_reg[1]_0 ; + input [1:0]w_issuing_cnt; + input \m_ready_d_reg[1] ; + input [13:0]D; + + wire [13:0]D; + wire aclk; + wire \aresetn_d_reg[1] ; + wire \aresetn_d_reg[1]_0 ; + wire [0:0]chosen; + wire \gen_master_slots[0].w_issuing_cnt_reg[0] ; + wire [13:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + wire \gen_no_arbiter.m_target_hot_i_reg[2] ; + wire [0:0]m_axi_bready; + wire [0:0]m_axi_bvalid; + wire \m_payload_i[13]_i_1__1_n_0 ; + wire \m_payload_i_reg[0]_0 ; + wire \m_ready_d_reg[1] ; + wire m_valid_i_i_2_n_0; + wire p_1_in; + wire [0:0]s_axi_bready; + wire s_ready_i_i_1__4_n_0; + wire [1:0]w_issuing_cnt; + + LUT6 #( + .INIT(64'hC0003FFF3FFF8000)) + \gen_master_slots[0].w_issuing_cnt[0]_i_1 + (.I0(w_issuing_cnt[1]), + .I1(s_axi_bready), + .I2(\m_payload_i_reg[0]_0 ), + .I3(chosen), + .I4(\m_ready_d_reg[1] ), + .I5(w_issuing_cnt[0]), + .O(\gen_master_slots[0].w_issuing_cnt_reg[0] )); + (* SOFT_HLUTNM = "soft_lutpair6" *) + LUT3 #( + .INIT(8'h80)) + \gen_no_arbiter.s_ready_i[0]_i_18 + (.I0(s_axi_bready), + .I1(\m_payload_i_reg[0]_0 ), + .I2(chosen), + .O(\gen_no_arbiter.m_target_hot_i_reg[2] )); + LUT1 #( + .INIT(2'h1)) + \m_payload_i[13]_i_1__1 + (.I0(\m_payload_i_reg[0]_0 ), + .O(\m_payload_i[13]_i_1__1_n_0 )); + FDRE \m_payload_i_reg[0] + (.C(aclk), + .CE(\m_payload_i[13]_i_1__1_n_0 ), + .D(D[0]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [0]), + .R(1'b0)); + FDRE \m_payload_i_reg[10] + (.C(aclk), + .CE(\m_payload_i[13]_i_1__1_n_0 ), + .D(D[10]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [10]), + .R(1'b0)); + FDRE \m_payload_i_reg[11] + (.C(aclk), + .CE(\m_payload_i[13]_i_1__1_n_0 ), + .D(D[11]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [11]), + .R(1'b0)); + FDRE \m_payload_i_reg[12] + (.C(aclk), + .CE(\m_payload_i[13]_i_1__1_n_0 ), + .D(D[12]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [12]), + .R(1'b0)); + FDRE \m_payload_i_reg[13] + (.C(aclk), + .CE(\m_payload_i[13]_i_1__1_n_0 ), + .D(D[13]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [13]), + .R(1'b0)); + FDRE \m_payload_i_reg[1] + (.C(aclk), + .CE(\m_payload_i[13]_i_1__1_n_0 ), + .D(D[1]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [1]), + .R(1'b0)); + FDRE \m_payload_i_reg[2] + (.C(aclk), + .CE(\m_payload_i[13]_i_1__1_n_0 ), + .D(D[2]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [2]), + .R(1'b0)); + FDRE \m_payload_i_reg[3] + (.C(aclk), + .CE(\m_payload_i[13]_i_1__1_n_0 ), + .D(D[3]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [3]), + .R(1'b0)); + FDRE \m_payload_i_reg[4] + (.C(aclk), + .CE(\m_payload_i[13]_i_1__1_n_0 ), + .D(D[4]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [4]), + .R(1'b0)); + FDRE \m_payload_i_reg[5] + (.C(aclk), + .CE(\m_payload_i[13]_i_1__1_n_0 ), + .D(D[5]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [5]), + .R(1'b0)); + FDRE \m_payload_i_reg[6] + (.C(aclk), + .CE(\m_payload_i[13]_i_1__1_n_0 ), + .D(D[6]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [6]), + .R(1'b0)); + FDRE \m_payload_i_reg[7] + (.C(aclk), + .CE(\m_payload_i[13]_i_1__1_n_0 ), + .D(D[7]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [7]), + .R(1'b0)); + FDRE \m_payload_i_reg[8] + (.C(aclk), + .CE(\m_payload_i[13]_i_1__1_n_0 ), + .D(D[8]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [8]), + .R(1'b0)); + FDRE \m_payload_i_reg[9] + (.C(aclk), + .CE(\m_payload_i[13]_i_1__1_n_0 ), + .D(D[9]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [9]), + .R(1'b0)); + LUT5 #( + .INIT(32'h8BBBBBBB)) + m_valid_i_i_2 + (.I0(m_axi_bvalid), + .I1(m_axi_bready), + .I2(chosen), + .I3(\m_payload_i_reg[0]_0 ), + .I4(s_axi_bready), + .O(m_valid_i_i_2_n_0)); + FDRE m_valid_i_reg + (.C(aclk), + .CE(1'b1), + .D(m_valid_i_i_2_n_0), + .Q(\m_payload_i_reg[0]_0 ), + .R(\aresetn_d_reg[1] )); + (* SOFT_HLUTNM = "soft_lutpair6" *) + LUT5 #( + .INIT(32'hB111FFFF)) + s_ready_i_i_1__4 + (.I0(\m_payload_i_reg[0]_0 ), + .I1(m_axi_bvalid), + .I2(s_axi_bready), + .I3(chosen), + .I4(\aresetn_d_reg[1]_0 ), + .O(s_ready_i_i_1__4_n_0)); + FDRE s_ready_i_reg + (.C(aclk), + .CE(1'b1), + .D(s_ready_i_i_1__4_n_0), + .Q(m_axi_bready), + .R(p_1_in)); +endmodule + +(* ORIG_REF_NAME = "axi_register_slice_v2_1_9_axic_register_slice" *) +module system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2 + (m_valid_i_reg_0, + \skid_buffer_reg[34]_0 , + \gen_no_arbiter.m_target_hot_i_reg[2] , + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] , + \gen_master_slots[2].r_issuing_cnt_reg[16] , + \aresetn_d_reg[1] , + aclk, + p_1_in, + p_15_in, + s_axi_rready, + chosen_0, + \gen_axi.s_axi_rid_i_reg[11] , + p_17_in, + \gen_no_arbiter.m_valid_i_reg , + p_11_in); + output m_valid_i_reg_0; + output \skid_buffer_reg[34]_0 ; + output \gen_no_arbiter.m_target_hot_i_reg[2] ; + output [12:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + output \gen_master_slots[2].r_issuing_cnt_reg[16] ; + input \aresetn_d_reg[1] ; + input aclk; + input p_1_in; + input p_15_in; + input [0:0]s_axi_rready; + input [0:0]chosen_0; + input [11:0]\gen_axi.s_axi_rid_i_reg[11] ; + input p_17_in; + input \gen_no_arbiter.m_valid_i_reg ; + input p_11_in; + + wire aclk; + wire \aresetn_d_reg[1] ; + wire [0:0]chosen_0; + wire [11:0]\gen_axi.s_axi_rid_i_reg[11] ; + wire \gen_master_slots[2].r_issuing_cnt_reg[16] ; + wire [12:0]\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] ; + wire \gen_no_arbiter.m_target_hot_i_reg[2] ; + wire \gen_no_arbiter.m_valid_i_reg ; + wire m_valid_i0; + wire m_valid_i_reg_0; + wire p_11_in; + wire p_15_in; + wire p_17_in; + wire p_1_in; + wire p_1_in_0; + wire [0:0]s_axi_rready; + wire s_ready_i0; + wire [46:34]skid_buffer; + wire \skid_buffer_reg[34]_0 ; + wire \skid_buffer_reg_n_0_[34] ; + wire \skid_buffer_reg_n_0_[35] ; + wire \skid_buffer_reg_n_0_[36] ; + wire \skid_buffer_reg_n_0_[37] ; + wire \skid_buffer_reg_n_0_[38] ; + wire \skid_buffer_reg_n_0_[39] ; + wire \skid_buffer_reg_n_0_[40] ; + wire \skid_buffer_reg_n_0_[41] ; + wire \skid_buffer_reg_n_0_[42] ; + wire \skid_buffer_reg_n_0_[43] ; + wire \skid_buffer_reg_n_0_[44] ; + wire \skid_buffer_reg_n_0_[45] ; + wire \skid_buffer_reg_n_0_[46] ; + + LUT6 #( + .INIT(64'h955555552AAAAAAA)) + \gen_master_slots[2].r_issuing_cnt[16]_i_1 + (.I0(\gen_no_arbiter.m_valid_i_reg ), + .I1(s_axi_rready), + .I2(m_valid_i_reg_0), + .I3(chosen_0), + .I4(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [0]), + .I5(p_11_in), + .O(\gen_master_slots[2].r_issuing_cnt_reg[16] )); + LUT4 #( + .INIT(16'h8000)) + \gen_no_arbiter.s_ready_i[0]_i_11__0 + (.I0(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [0]), + .I1(chosen_0), + .I2(m_valid_i_reg_0), + .I3(s_axi_rready), + .O(\gen_no_arbiter.m_target_hot_i_reg[2] )); + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[34]_i_1__1 + (.I0(p_17_in), + .I1(\skid_buffer_reg[34]_0 ), + .I2(\skid_buffer_reg_n_0_[34] ), + .O(skid_buffer[34])); + (* SOFT_HLUTNM = "soft_lutpair58" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[35]_i_1__1 + (.I0(\gen_axi.s_axi_rid_i_reg[11] [0]), + .I1(\skid_buffer_reg[34]_0 ), + .I2(\skid_buffer_reg_n_0_[35] ), + .O(skid_buffer[35])); + (* SOFT_HLUTNM = "soft_lutpair58" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[36]_i_1__1 + (.I0(\gen_axi.s_axi_rid_i_reg[11] [1]), + .I1(\skid_buffer_reg[34]_0 ), + .I2(\skid_buffer_reg_n_0_[36] ), + .O(skid_buffer[36])); + (* SOFT_HLUTNM = "soft_lutpair57" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[37]_i_1__1 + (.I0(\gen_axi.s_axi_rid_i_reg[11] [2]), + .I1(\skid_buffer_reg[34]_0 ), + .I2(\skid_buffer_reg_n_0_[37] ), + .O(skid_buffer[37])); + (* SOFT_HLUTNM = "soft_lutpair57" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[38]_i_1__1 + (.I0(\gen_axi.s_axi_rid_i_reg[11] [3]), + .I1(\skid_buffer_reg[34]_0 ), + .I2(\skid_buffer_reg_n_0_[38] ), + .O(skid_buffer[38])); + (* SOFT_HLUTNM = "soft_lutpair56" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[39]_i_1__1 + (.I0(\gen_axi.s_axi_rid_i_reg[11] [4]), + .I1(\skid_buffer_reg[34]_0 ), + .I2(\skid_buffer_reg_n_0_[39] ), + .O(skid_buffer[39])); + (* SOFT_HLUTNM = "soft_lutpair56" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[40]_i_1__1 + (.I0(\gen_axi.s_axi_rid_i_reg[11] [5]), + .I1(\skid_buffer_reg[34]_0 ), + .I2(\skid_buffer_reg_n_0_[40] ), + .O(skid_buffer[40])); + (* SOFT_HLUTNM = "soft_lutpair55" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[41]_i_1__1 + (.I0(\gen_axi.s_axi_rid_i_reg[11] [6]), + .I1(\skid_buffer_reg[34]_0 ), + .I2(\skid_buffer_reg_n_0_[41] ), + .O(skid_buffer[41])); + (* SOFT_HLUTNM = "soft_lutpair55" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[42]_i_1__1 + (.I0(\gen_axi.s_axi_rid_i_reg[11] [7]), + .I1(\skid_buffer_reg[34]_0 ), + .I2(\skid_buffer_reg_n_0_[42] ), + .O(skid_buffer[42])); + (* SOFT_HLUTNM = "soft_lutpair54" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[43]_i_1__1 + (.I0(\gen_axi.s_axi_rid_i_reg[11] [8]), + .I1(\skid_buffer_reg[34]_0 ), + .I2(\skid_buffer_reg_n_0_[43] ), + .O(skid_buffer[43])); + (* SOFT_HLUTNM = "soft_lutpair54" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[44]_i_1__1 + (.I0(\gen_axi.s_axi_rid_i_reg[11] [9]), + .I1(\skid_buffer_reg[34]_0 ), + .I2(\skid_buffer_reg_n_0_[44] ), + .O(skid_buffer[44])); + (* SOFT_HLUTNM = "soft_lutpair53" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[45]_i_1__1 + (.I0(\gen_axi.s_axi_rid_i_reg[11] [10]), + .I1(\skid_buffer_reg[34]_0 ), + .I2(\skid_buffer_reg_n_0_[45] ), + .O(skid_buffer[45])); + LUT3 #( + .INIT(8'hB3)) + \m_payload_i[46]_i_1__0 + (.I0(s_axi_rready), + .I1(m_valid_i_reg_0), + .I2(chosen_0), + .O(p_1_in_0)); + (* SOFT_HLUTNM = "soft_lutpair53" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[46]_i_2__1 + (.I0(\gen_axi.s_axi_rid_i_reg[11] [11]), + .I1(\skid_buffer_reg[34]_0 ), + .I2(\skid_buffer_reg_n_0_[46] ), + .O(skid_buffer[46])); + FDRE \m_payload_i_reg[34] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[34]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [0]), + .R(1'b0)); + FDRE \m_payload_i_reg[35] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[35]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [1]), + .R(1'b0)); + FDRE \m_payload_i_reg[36] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[36]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [2]), + .R(1'b0)); + FDRE \m_payload_i_reg[37] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[37]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [3]), + .R(1'b0)); + FDRE \m_payload_i_reg[38] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[38]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [4]), + .R(1'b0)); + FDRE \m_payload_i_reg[39] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[39]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [5]), + .R(1'b0)); + FDRE \m_payload_i_reg[40] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[40]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [6]), + .R(1'b0)); + FDRE \m_payload_i_reg[41] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[41]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [7]), + .R(1'b0)); + FDRE \m_payload_i_reg[42] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[42]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [8]), + .R(1'b0)); + FDRE \m_payload_i_reg[43] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[43]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [9]), + .R(1'b0)); + FDRE \m_payload_i_reg[44] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[44]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [10]), + .R(1'b0)); + FDRE \m_payload_i_reg[45] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[45]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [11]), + .R(1'b0)); + FDRE \m_payload_i_reg[46] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[46]), + .Q(\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58] [12]), + .R(1'b0)); + LUT5 #( + .INIT(32'hFF4CFFFF)) + m_valid_i_i_1__3 + (.I0(s_axi_rready), + .I1(m_valid_i_reg_0), + .I2(chosen_0), + .I3(p_15_in), + .I4(\skid_buffer_reg[34]_0 ), + .O(m_valid_i0)); + FDRE m_valid_i_reg + (.C(aclk), + .CE(1'b1), + .D(m_valid_i0), + .Q(m_valid_i_reg_0), + .R(\aresetn_d_reg[1] )); + LUT5 #( + .INIT(32'hF4FF44FF)) + s_ready_i_i_1__0 + (.I0(p_15_in), + .I1(\skid_buffer_reg[34]_0 ), + .I2(s_axi_rready), + .I3(m_valid_i_reg_0), + .I4(chosen_0), + .O(s_ready_i0)); + FDRE s_ready_i_reg + (.C(aclk), + .CE(1'b1), + .D(s_ready_i0), + .Q(\skid_buffer_reg[34]_0 ), + .R(p_1_in)); + FDRE \skid_buffer_reg[34] + (.C(aclk), + .CE(\skid_buffer_reg[34]_0 ), + .D(p_17_in), + .Q(\skid_buffer_reg_n_0_[34] ), + .R(1'b0)); + FDRE \skid_buffer_reg[35] + (.C(aclk), + .CE(\skid_buffer_reg[34]_0 ), + .D(\gen_axi.s_axi_rid_i_reg[11] [0]), + .Q(\skid_buffer_reg_n_0_[35] ), + .R(1'b0)); + FDRE \skid_buffer_reg[36] + (.C(aclk), + .CE(\skid_buffer_reg[34]_0 ), + .D(\gen_axi.s_axi_rid_i_reg[11] [1]), + .Q(\skid_buffer_reg_n_0_[36] ), + .R(1'b0)); + FDRE \skid_buffer_reg[37] + (.C(aclk), + .CE(\skid_buffer_reg[34]_0 ), + .D(\gen_axi.s_axi_rid_i_reg[11] [2]), + .Q(\skid_buffer_reg_n_0_[37] ), + .R(1'b0)); + FDRE \skid_buffer_reg[38] + (.C(aclk), + .CE(\skid_buffer_reg[34]_0 ), + .D(\gen_axi.s_axi_rid_i_reg[11] [3]), + .Q(\skid_buffer_reg_n_0_[38] ), + .R(1'b0)); + FDRE \skid_buffer_reg[39] + (.C(aclk), + .CE(\skid_buffer_reg[34]_0 ), + .D(\gen_axi.s_axi_rid_i_reg[11] [4]), + .Q(\skid_buffer_reg_n_0_[39] ), + .R(1'b0)); + FDRE \skid_buffer_reg[40] + (.C(aclk), + .CE(\skid_buffer_reg[34]_0 ), + .D(\gen_axi.s_axi_rid_i_reg[11] [5]), + .Q(\skid_buffer_reg_n_0_[40] ), + .R(1'b0)); + FDRE \skid_buffer_reg[41] + (.C(aclk), + .CE(\skid_buffer_reg[34]_0 ), + .D(\gen_axi.s_axi_rid_i_reg[11] [6]), + .Q(\skid_buffer_reg_n_0_[41] ), + .R(1'b0)); + FDRE \skid_buffer_reg[42] + (.C(aclk), + .CE(\skid_buffer_reg[34]_0 ), + .D(\gen_axi.s_axi_rid_i_reg[11] [7]), + .Q(\skid_buffer_reg_n_0_[42] ), + .R(1'b0)); + FDRE \skid_buffer_reg[43] + (.C(aclk), + .CE(\skid_buffer_reg[34]_0 ), + .D(\gen_axi.s_axi_rid_i_reg[11] [8]), + .Q(\skid_buffer_reg_n_0_[43] ), + .R(1'b0)); + FDRE \skid_buffer_reg[44] + (.C(aclk), + .CE(\skid_buffer_reg[34]_0 ), + .D(\gen_axi.s_axi_rid_i_reg[11] [9]), + .Q(\skid_buffer_reg_n_0_[44] ), + .R(1'b0)); + FDRE \skid_buffer_reg[45] + (.C(aclk), + .CE(\skid_buffer_reg[34]_0 ), + .D(\gen_axi.s_axi_rid_i_reg[11] [10]), + .Q(\skid_buffer_reg_n_0_[45] ), + .R(1'b0)); + FDRE \skid_buffer_reg[46] + (.C(aclk), + .CE(\skid_buffer_reg[34]_0 ), + .D(\gen_axi.s_axi_rid_i_reg[11] [11]), + .Q(\skid_buffer_reg_n_0_[46] ), + .R(1'b0)); +endmodule + +(* ORIG_REF_NAME = "axi_register_slice_v2_1_9_axic_register_slice" *) +module system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2_6 + (\m_payload_i_reg[0]_0 , + \m_axi_rready[1] , + s_axi_rresp, + s_axi_rdata, + Q, + \aresetn_d_reg[1] , + aclk, + p_1_in, + chosen_0, + p_32_out, + \m_payload_i_reg[32]_0 , + m_axi_rvalid, + s_axi_rready, + m_axi_rid, + m_axi_rlast, + m_axi_rresp, + m_axi_rdata); + output \m_payload_i_reg[0]_0 ; + output \m_axi_rready[1] ; + output [0:0]s_axi_rresp; + output [11:0]s_axi_rdata; + output [33:0]Q; + input \aresetn_d_reg[1] ; + input aclk; + input p_1_in; + input [1:0]chosen_0; + input p_32_out; + input [12:0]\m_payload_i_reg[32]_0 ; + input [0:0]m_axi_rvalid; + input [0:0]s_axi_rready; + input [11:0]m_axi_rid; + input [0:0]m_axi_rlast; + input [1:0]m_axi_rresp; + input [31:0]m_axi_rdata; + + wire [33:0]Q; + wire aclk; + wire \aresetn_d_reg[1] ; + wire [1:0]chosen_0; + wire [31:0]m_axi_rdata; + wire [11:0]m_axi_rid; + wire [0:0]m_axi_rlast; + wire \m_axi_rready[1] ; + wire [1:0]m_axi_rresp; + wire [0:0]m_axi_rvalid; + wire \m_payload_i_reg[0]_0 ; + wire [12:0]\m_payload_i_reg[32]_0 ; + wire m_valid_i0; + wire p_1_in; + wire p_1_in_0; + wire p_32_out; + wire [11:0]s_axi_rdata; + wire [0:0]s_axi_rready; + wire [0:0]s_axi_rresp; + wire s_ready_i0; + wire [46:0]skid_buffer; + wire \skid_buffer_reg_n_0_[0] ; + wire \skid_buffer_reg_n_0_[10] ; + wire \skid_buffer_reg_n_0_[11] ; + wire \skid_buffer_reg_n_0_[12] ; + wire \skid_buffer_reg_n_0_[13] ; + wire \skid_buffer_reg_n_0_[14] ; + wire \skid_buffer_reg_n_0_[15] ; + wire \skid_buffer_reg_n_0_[16] ; + wire \skid_buffer_reg_n_0_[17] ; + wire \skid_buffer_reg_n_0_[18] ; + wire \skid_buffer_reg_n_0_[19] ; + wire \skid_buffer_reg_n_0_[1] ; + wire \skid_buffer_reg_n_0_[20] ; + wire \skid_buffer_reg_n_0_[21] ; + wire \skid_buffer_reg_n_0_[22] ; + wire \skid_buffer_reg_n_0_[23] ; + wire \skid_buffer_reg_n_0_[24] ; + wire \skid_buffer_reg_n_0_[25] ; + wire \skid_buffer_reg_n_0_[26] ; + wire \skid_buffer_reg_n_0_[27] ; + wire \skid_buffer_reg_n_0_[28] ; + wire \skid_buffer_reg_n_0_[29] ; + wire \skid_buffer_reg_n_0_[2] ; + wire \skid_buffer_reg_n_0_[30] ; + wire \skid_buffer_reg_n_0_[31] ; + wire \skid_buffer_reg_n_0_[32] ; + wire \skid_buffer_reg_n_0_[33] ; + wire \skid_buffer_reg_n_0_[34] ; + wire \skid_buffer_reg_n_0_[35] ; + wire \skid_buffer_reg_n_0_[36] ; + wire \skid_buffer_reg_n_0_[37] ; + wire \skid_buffer_reg_n_0_[38] ; + wire \skid_buffer_reg_n_0_[39] ; + wire \skid_buffer_reg_n_0_[3] ; + wire \skid_buffer_reg_n_0_[40] ; + wire \skid_buffer_reg_n_0_[41] ; + wire \skid_buffer_reg_n_0_[42] ; + wire \skid_buffer_reg_n_0_[43] ; + wire \skid_buffer_reg_n_0_[44] ; + wire \skid_buffer_reg_n_0_[45] ; + wire \skid_buffer_reg_n_0_[46] ; + wire \skid_buffer_reg_n_0_[4] ; + wire \skid_buffer_reg_n_0_[5] ; + wire \skid_buffer_reg_n_0_[6] ; + wire \skid_buffer_reg_n_0_[7] ; + wire \skid_buffer_reg_n_0_[8] ; + wire \skid_buffer_reg_n_0_[9] ; + wire [69:35]st_mr_rmesg; + + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[0]_i_1__0 + (.I0(m_axi_rdata[0]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[0] ), + .O(skid_buffer[0])); + (* SOFT_HLUTNM = "soft_lutpair48" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[10]_i_1__0 + (.I0(m_axi_rdata[10]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[10] ), + .O(skid_buffer[10])); + (* SOFT_HLUTNM = "soft_lutpair47" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[11]_i_1__0 + (.I0(m_axi_rdata[11]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[11] ), + .O(skid_buffer[11])); + (* SOFT_HLUTNM = "soft_lutpair47" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[12]_i_1__0 + (.I0(m_axi_rdata[12]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[12] ), + .O(skid_buffer[12])); + (* SOFT_HLUTNM = "soft_lutpair46" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[13]_i_1__3 + (.I0(m_axi_rdata[13]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[13] ), + .O(skid_buffer[13])); + (* SOFT_HLUTNM = "soft_lutpair46" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[14]_i_1__0 + (.I0(m_axi_rdata[14]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[14] ), + .O(skid_buffer[14])); + (* SOFT_HLUTNM = "soft_lutpair45" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[15]_i_1__0 + (.I0(m_axi_rdata[15]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[15] ), + .O(skid_buffer[15])); + (* SOFT_HLUTNM = "soft_lutpair45" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[16]_i_1__0 + (.I0(m_axi_rdata[16]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[16] ), + .O(skid_buffer[16])); + (* SOFT_HLUTNM = "soft_lutpair44" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[17]_i_1__0 + (.I0(m_axi_rdata[17]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[17] ), + .O(skid_buffer[17])); + (* SOFT_HLUTNM = "soft_lutpair44" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[18]_i_1__0 + (.I0(m_axi_rdata[18]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[18] ), + .O(skid_buffer[18])); + (* SOFT_HLUTNM = "soft_lutpair43" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[19]_i_1__0 + (.I0(m_axi_rdata[19]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[19] ), + .O(skid_buffer[19])); + (* SOFT_HLUTNM = "soft_lutpair52" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[1]_i_1__0 + (.I0(m_axi_rdata[1]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[1] ), + .O(skid_buffer[1])); + (* SOFT_HLUTNM = "soft_lutpair43" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[20]_i_1__0 + (.I0(m_axi_rdata[20]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[20] ), + .O(skid_buffer[20])); + (* SOFT_HLUTNM = "soft_lutpair42" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[21]_i_1__0 + (.I0(m_axi_rdata[21]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[21] ), + .O(skid_buffer[21])); + (* SOFT_HLUTNM = "soft_lutpair41" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[22]_i_1__0 + (.I0(m_axi_rdata[22]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[22] ), + .O(skid_buffer[22])); + (* SOFT_HLUTNM = "soft_lutpair40" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[23]_i_1__0 + (.I0(m_axi_rdata[23]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[23] ), + .O(skid_buffer[23])); + (* SOFT_HLUTNM = "soft_lutpair39" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[24]_i_1__0 + (.I0(m_axi_rdata[24]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[24] ), + .O(skid_buffer[24])); + (* SOFT_HLUTNM = "soft_lutpair38" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[25]_i_1__0 + (.I0(m_axi_rdata[25]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[25] ), + .O(skid_buffer[25])); + (* SOFT_HLUTNM = "soft_lutpair37" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[26]_i_1__0 + (.I0(m_axi_rdata[26]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[26] ), + .O(skid_buffer[26])); + (* SOFT_HLUTNM = "soft_lutpair36" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[27]_i_1__0 + (.I0(m_axi_rdata[27]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[27] ), + .O(skid_buffer[27])); + (* SOFT_HLUTNM = "soft_lutpair35" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[28]_i_1__0 + (.I0(m_axi_rdata[28]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[28] ), + .O(skid_buffer[28])); + (* SOFT_HLUTNM = "soft_lutpair34" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[29]_i_1__0 + (.I0(m_axi_rdata[29]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[29] ), + .O(skid_buffer[29])); + (* SOFT_HLUTNM = "soft_lutpair52" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[2]_i_1__0 + (.I0(m_axi_rdata[2]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[2] ), + .O(skid_buffer[2])); + (* SOFT_HLUTNM = "soft_lutpair33" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[30]_i_1__0 + (.I0(m_axi_rdata[30]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[30] ), + .O(skid_buffer[30])); + (* SOFT_HLUTNM = "soft_lutpair32" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[31]_i_1__0 + (.I0(m_axi_rdata[31]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[31] ), + .O(skid_buffer[31])); + (* SOFT_HLUTNM = "soft_lutpair31" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[32]_i_1__0 + (.I0(m_axi_rresp[0]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[32] ), + .O(skid_buffer[32])); + (* SOFT_HLUTNM = "soft_lutpair30" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[33]_i_1__0 + (.I0(m_axi_rresp[1]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[33] ), + .O(skid_buffer[33])); + (* SOFT_HLUTNM = "soft_lutpair42" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[34]_i_1__0 + (.I0(m_axi_rlast), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[34] ), + .O(skid_buffer[34])); + (* SOFT_HLUTNM = "soft_lutpair41" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[35]_i_1__0 + (.I0(m_axi_rid[0]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[35] ), + .O(skid_buffer[35])); + (* SOFT_HLUTNM = "soft_lutpair40" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[36]_i_1__0 + (.I0(m_axi_rid[1]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[36] ), + .O(skid_buffer[36])); + (* SOFT_HLUTNM = "soft_lutpair39" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[37]_i_1__0 + (.I0(m_axi_rid[2]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[37] ), + .O(skid_buffer[37])); + (* SOFT_HLUTNM = "soft_lutpair38" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[38]_i_1__0 + (.I0(m_axi_rid[3]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[38] ), + .O(skid_buffer[38])); + (* SOFT_HLUTNM = "soft_lutpair37" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[39]_i_1__0 + (.I0(m_axi_rid[4]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[39] ), + .O(skid_buffer[39])); + (* SOFT_HLUTNM = "soft_lutpair51" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[3]_i_1__0 + (.I0(m_axi_rdata[3]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[3] ), + .O(skid_buffer[3])); + (* SOFT_HLUTNM = "soft_lutpair36" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[40]_i_1__0 + (.I0(m_axi_rid[5]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[40] ), + .O(skid_buffer[40])); + (* SOFT_HLUTNM = "soft_lutpair35" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[41]_i_1__0 + (.I0(m_axi_rid[6]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[41] ), + .O(skid_buffer[41])); + (* SOFT_HLUTNM = "soft_lutpair34" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[42]_i_1__0 + (.I0(m_axi_rid[7]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[42] ), + .O(skid_buffer[42])); + (* SOFT_HLUTNM = "soft_lutpair33" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[43]_i_1__0 + (.I0(m_axi_rid[8]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[43] ), + .O(skid_buffer[43])); + (* SOFT_HLUTNM = "soft_lutpair32" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[44]_i_1__0 + (.I0(m_axi_rid[9]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[44] ), + .O(skid_buffer[44])); + (* SOFT_HLUTNM = "soft_lutpair31" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[45]_i_1__0 + (.I0(m_axi_rid[10]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[45] ), + .O(skid_buffer[45])); + LUT3 #( + .INIT(8'hD5)) + \m_payload_i[46]_i_1__1 + (.I0(\m_payload_i_reg[0]_0 ), + .I1(s_axi_rready), + .I2(chosen_0[0]), + .O(p_1_in_0)); + (* SOFT_HLUTNM = "soft_lutpair30" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[46]_i_2__0 + (.I0(m_axi_rid[11]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[46] ), + .O(skid_buffer[46])); + (* SOFT_HLUTNM = "soft_lutpair51" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[4]_i_1__0 + (.I0(m_axi_rdata[4]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[4] ), + .O(skid_buffer[4])); + (* SOFT_HLUTNM = "soft_lutpair50" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[5]_i_1__0 + (.I0(m_axi_rdata[5]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[5] ), + .O(skid_buffer[5])); + (* SOFT_HLUTNM = "soft_lutpair50" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[6]_i_1__0 + (.I0(m_axi_rdata[6]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[6] ), + .O(skid_buffer[6])); + (* SOFT_HLUTNM = "soft_lutpair49" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[7]_i_1__0 + (.I0(m_axi_rdata[7]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[7] ), + .O(skid_buffer[7])); + (* SOFT_HLUTNM = "soft_lutpair49" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[8]_i_1__0 + (.I0(m_axi_rdata[8]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[8] ), + .O(skid_buffer[8])); + (* SOFT_HLUTNM = "soft_lutpair48" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[9]_i_1__0 + (.I0(m_axi_rdata[9]), + .I1(\m_axi_rready[1] ), + .I2(\skid_buffer_reg_n_0_[9] ), + .O(skid_buffer[9])); + FDRE \m_payload_i_reg[0] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[0]), + .Q(st_mr_rmesg[38]), + .R(1'b0)); + FDRE \m_payload_i_reg[10] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[10]), + .Q(Q[6]), + .R(1'b0)); + FDRE \m_payload_i_reg[11] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[11]), + .Q(Q[7]), + .R(1'b0)); + FDRE \m_payload_i_reg[12] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[12]), + .Q(st_mr_rmesg[50]), + .R(1'b0)); + FDRE \m_payload_i_reg[13] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[13]), + .Q(Q[8]), + .R(1'b0)); + FDRE \m_payload_i_reg[14] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[14]), + .Q(st_mr_rmesg[52]), + .R(1'b0)); + FDRE \m_payload_i_reg[15] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[15]), + .Q(st_mr_rmesg[53]), + .R(1'b0)); + FDRE \m_payload_i_reg[16] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[16]), + .Q(st_mr_rmesg[54]), + .R(1'b0)); + FDRE \m_payload_i_reg[17] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[17]), + .Q(Q[9]), + .R(1'b0)); + FDRE \m_payload_i_reg[18] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[18]), + .Q(st_mr_rmesg[56]), + .R(1'b0)); + FDRE \m_payload_i_reg[19] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[19]), + .Q(Q[10]), + .R(1'b0)); + FDRE \m_payload_i_reg[1] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[1]), + .Q(Q[0]), + .R(1'b0)); + FDRE \m_payload_i_reg[20] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[20]), + .Q(Q[11]), + .R(1'b0)); + FDRE \m_payload_i_reg[21] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[21]), + .Q(Q[12]), + .R(1'b0)); + FDRE \m_payload_i_reg[22] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[22]), + .Q(Q[13]), + .R(1'b0)); + FDRE \m_payload_i_reg[23] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[23]), + .Q(Q[14]), + .R(1'b0)); + FDRE \m_payload_i_reg[24] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[24]), + .Q(st_mr_rmesg[62]), + .R(1'b0)); + FDRE \m_payload_i_reg[25] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[25]), + .Q(Q[15]), + .R(1'b0)); + FDRE \m_payload_i_reg[26] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[26]), + .Q(Q[16]), + .R(1'b0)); + FDRE \m_payload_i_reg[27] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[27]), + .Q(Q[17]), + .R(1'b0)); + FDRE \m_payload_i_reg[28] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[28]), + .Q(Q[18]), + .R(1'b0)); + FDRE \m_payload_i_reg[29] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[29]), + .Q(Q[19]), + .R(1'b0)); + FDRE \m_payload_i_reg[2] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[2]), + .Q(st_mr_rmesg[40]), + .R(1'b0)); + FDRE \m_payload_i_reg[30] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[30]), + .Q(st_mr_rmesg[68]), + .R(1'b0)); + FDRE \m_payload_i_reg[31] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[31]), + .Q(st_mr_rmesg[69]), + .R(1'b0)); + FDRE \m_payload_i_reg[32] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[32]), + .Q(st_mr_rmesg[35]), + .R(1'b0)); + FDRE \m_payload_i_reg[33] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[33]), + .Q(Q[20]), + .R(1'b0)); + FDRE \m_payload_i_reg[34] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[34]), + .Q(Q[21]), + .R(1'b0)); + FDRE \m_payload_i_reg[35] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[35]), + .Q(Q[22]), + .R(1'b0)); + FDRE \m_payload_i_reg[36] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[36]), + .Q(Q[23]), + .R(1'b0)); + FDRE \m_payload_i_reg[37] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[37]), + .Q(Q[24]), + .R(1'b0)); + FDRE \m_payload_i_reg[38] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[38]), + .Q(Q[25]), + .R(1'b0)); + FDRE \m_payload_i_reg[39] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[39]), + .Q(Q[26]), + .R(1'b0)); + FDRE \m_payload_i_reg[3] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[3]), + .Q(st_mr_rmesg[41]), + .R(1'b0)); + FDRE \m_payload_i_reg[40] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[40]), + .Q(Q[27]), + .R(1'b0)); + FDRE \m_payload_i_reg[41] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[41]), + .Q(Q[28]), + .R(1'b0)); + FDRE \m_payload_i_reg[42] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[42]), + .Q(Q[29]), + .R(1'b0)); + FDRE \m_payload_i_reg[43] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[43]), + .Q(Q[30]), + .R(1'b0)); + FDRE \m_payload_i_reg[44] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[44]), + .Q(Q[31]), + .R(1'b0)); + FDRE \m_payload_i_reg[45] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[45]), + .Q(Q[32]), + .R(1'b0)); + FDRE \m_payload_i_reg[46] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[46]), + .Q(Q[33]), + .R(1'b0)); + FDRE \m_payload_i_reg[4] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[4]), + .Q(Q[1]), + .R(1'b0)); + FDRE \m_payload_i_reg[5] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[5]), + .Q(Q[2]), + .R(1'b0)); + FDRE \m_payload_i_reg[6] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[6]), + .Q(Q[3]), + .R(1'b0)); + FDRE \m_payload_i_reg[7] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[7]), + .Q(st_mr_rmesg[45]), + .R(1'b0)); + FDRE \m_payload_i_reg[8] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[8]), + .Q(Q[4]), + .R(1'b0)); + FDRE \m_payload_i_reg[9] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[9]), + .Q(Q[5]), + .R(1'b0)); + LUT5 #( + .INIT(32'hBFFFBBBB)) + m_valid_i_i_1__4 + (.I0(m_axi_rvalid), + .I1(\m_axi_rready[1] ), + .I2(chosen_0[0]), + .I3(s_axi_rready), + .I4(\m_payload_i_reg[0]_0 ), + .O(m_valid_i0)); + FDRE m_valid_i_reg + (.C(aclk), + .CE(1'b1), + .D(m_valid_i0), + .Q(\m_payload_i_reg[0]_0 ), + .R(\aresetn_d_reg[1] )); + LUT6 #( + .INIT(64'h00BFBFBF00808080)) + \s_axi_rdata[0]_INST_0 + (.I0(st_mr_rmesg[38]), + .I1(\m_payload_i_reg[0]_0 ), + .I2(chosen_0[0]), + .I3(p_32_out), + .I4(chosen_0[1]), + .I5(\m_payload_i_reg[32]_0 [0]), + .O(s_axi_rdata[0])); + LUT6 #( + .INIT(64'h00BFBFBF00808080)) + \s_axi_rdata[12]_INST_0 + (.I0(st_mr_rmesg[50]), + .I1(\m_payload_i_reg[0]_0 ), + .I2(chosen_0[0]), + .I3(p_32_out), + .I4(chosen_0[1]), + .I5(\m_payload_i_reg[32]_0 [4]), + .O(s_axi_rdata[4])); + LUT6 #( + .INIT(64'h00BFBFBF00808080)) + \s_axi_rdata[14]_INST_0 + (.I0(st_mr_rmesg[52]), + .I1(\m_payload_i_reg[0]_0 ), + .I2(chosen_0[0]), + .I3(p_32_out), + .I4(chosen_0[1]), + .I5(\m_payload_i_reg[32]_0 [5]), + .O(s_axi_rdata[5])); + LUT6 #( + .INIT(64'h00BFBFBF00808080)) + \s_axi_rdata[15]_INST_0 + (.I0(st_mr_rmesg[53]), + .I1(\m_payload_i_reg[0]_0 ), + .I2(chosen_0[0]), + .I3(p_32_out), + .I4(chosen_0[1]), + .I5(\m_payload_i_reg[32]_0 [6]), + .O(s_axi_rdata[6])); + LUT6 #( + .INIT(64'h00BFBFBF00808080)) + \s_axi_rdata[16]_INST_0 + (.I0(st_mr_rmesg[54]), + .I1(\m_payload_i_reg[0]_0 ), + .I2(chosen_0[0]), + .I3(p_32_out), + .I4(chosen_0[1]), + .I5(\m_payload_i_reg[32]_0 [7]), + .O(s_axi_rdata[7])); + LUT6 #( + .INIT(64'h00BFBFBF00808080)) + \s_axi_rdata[18]_INST_0 + (.I0(st_mr_rmesg[56]), + .I1(\m_payload_i_reg[0]_0 ), + .I2(chosen_0[0]), + .I3(p_32_out), + .I4(chosen_0[1]), + .I5(\m_payload_i_reg[32]_0 [8]), + .O(s_axi_rdata[8])); + LUT6 #( + .INIT(64'h00BFBFBF00808080)) + \s_axi_rdata[24]_INST_0 + (.I0(st_mr_rmesg[62]), + .I1(\m_payload_i_reg[0]_0 ), + .I2(chosen_0[0]), + .I3(p_32_out), + .I4(chosen_0[1]), + .I5(\m_payload_i_reg[32]_0 [9]), + .O(s_axi_rdata[9])); + LUT6 #( + .INIT(64'h00BFBFBF00808080)) + \s_axi_rdata[2]_INST_0 + (.I0(st_mr_rmesg[40]), + .I1(\m_payload_i_reg[0]_0 ), + .I2(chosen_0[0]), + .I3(p_32_out), + .I4(chosen_0[1]), + .I5(\m_payload_i_reg[32]_0 [1]), + .O(s_axi_rdata[1])); + LUT6 #( + .INIT(64'h00BFBFBF00808080)) + \s_axi_rdata[30]_INST_0 + (.I0(st_mr_rmesg[68]), + .I1(\m_payload_i_reg[0]_0 ), + .I2(chosen_0[0]), + .I3(p_32_out), + .I4(chosen_0[1]), + .I5(\m_payload_i_reg[32]_0 [10]), + .O(s_axi_rdata[10])); + LUT6 #( + .INIT(64'h00BFBFBF00808080)) + \s_axi_rdata[31]_INST_0 + (.I0(st_mr_rmesg[69]), + .I1(\m_payload_i_reg[0]_0 ), + .I2(chosen_0[0]), + .I3(p_32_out), + .I4(chosen_0[1]), + .I5(\m_payload_i_reg[32]_0 [11]), + .O(s_axi_rdata[11])); + LUT6 #( + .INIT(64'h00BFBFBF00808080)) + \s_axi_rdata[3]_INST_0 + (.I0(st_mr_rmesg[41]), + .I1(\m_payload_i_reg[0]_0 ), + .I2(chosen_0[0]), + .I3(p_32_out), + .I4(chosen_0[1]), + .I5(\m_payload_i_reg[32]_0 [2]), + .O(s_axi_rdata[2])); + LUT6 #( + .INIT(64'h00BFBFBF00808080)) + \s_axi_rdata[7]_INST_0 + (.I0(st_mr_rmesg[45]), + .I1(\m_payload_i_reg[0]_0 ), + .I2(chosen_0[0]), + .I3(p_32_out), + .I4(chosen_0[1]), + .I5(\m_payload_i_reg[32]_0 [3]), + .O(s_axi_rdata[3])); + LUT6 #( + .INIT(64'h3FBFBFBF3F808080)) + \s_axi_rresp[0]_INST_0 + (.I0(st_mr_rmesg[35]), + .I1(chosen_0[0]), + .I2(\m_payload_i_reg[0]_0 ), + .I3(chosen_0[1]), + .I4(p_32_out), + .I5(\m_payload_i_reg[32]_0 [12]), + .O(s_axi_rresp)); + LUT5 #( + .INIT(32'hF444FFFF)) + s_ready_i_i_1__1 + (.I0(m_axi_rvalid), + .I1(\m_axi_rready[1] ), + .I2(chosen_0[0]), + .I3(s_axi_rready), + .I4(\m_payload_i_reg[0]_0 ), + .O(s_ready_i0)); + FDRE s_ready_i_reg + (.C(aclk), + .CE(1'b1), + .D(s_ready_i0), + .Q(\m_axi_rready[1] ), + .R(p_1_in)); + FDRE \skid_buffer_reg[0] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[0]), + .Q(\skid_buffer_reg_n_0_[0] ), + .R(1'b0)); + FDRE \skid_buffer_reg[10] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[10]), + .Q(\skid_buffer_reg_n_0_[10] ), + .R(1'b0)); + FDRE \skid_buffer_reg[11] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[11]), + .Q(\skid_buffer_reg_n_0_[11] ), + .R(1'b0)); + FDRE \skid_buffer_reg[12] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[12]), + .Q(\skid_buffer_reg_n_0_[12] ), + .R(1'b0)); + FDRE \skid_buffer_reg[13] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[13]), + .Q(\skid_buffer_reg_n_0_[13] ), + .R(1'b0)); + FDRE \skid_buffer_reg[14] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[14]), + .Q(\skid_buffer_reg_n_0_[14] ), + .R(1'b0)); + FDRE \skid_buffer_reg[15] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[15]), + .Q(\skid_buffer_reg_n_0_[15] ), + .R(1'b0)); + FDRE \skid_buffer_reg[16] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[16]), + .Q(\skid_buffer_reg_n_0_[16] ), + .R(1'b0)); + FDRE \skid_buffer_reg[17] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[17]), + .Q(\skid_buffer_reg_n_0_[17] ), + .R(1'b0)); + FDRE \skid_buffer_reg[18] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[18]), + .Q(\skid_buffer_reg_n_0_[18] ), + .R(1'b0)); + FDRE \skid_buffer_reg[19] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[19]), + .Q(\skid_buffer_reg_n_0_[19] ), + .R(1'b0)); + FDRE \skid_buffer_reg[1] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[1]), + .Q(\skid_buffer_reg_n_0_[1] ), + .R(1'b0)); + FDRE \skid_buffer_reg[20] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[20]), + .Q(\skid_buffer_reg_n_0_[20] ), + .R(1'b0)); + FDRE \skid_buffer_reg[21] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[21]), + .Q(\skid_buffer_reg_n_0_[21] ), + .R(1'b0)); + FDRE \skid_buffer_reg[22] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[22]), + .Q(\skid_buffer_reg_n_0_[22] ), + .R(1'b0)); + FDRE \skid_buffer_reg[23] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[23]), + .Q(\skid_buffer_reg_n_0_[23] ), + .R(1'b0)); + FDRE \skid_buffer_reg[24] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[24]), + .Q(\skid_buffer_reg_n_0_[24] ), + .R(1'b0)); + FDRE \skid_buffer_reg[25] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[25]), + .Q(\skid_buffer_reg_n_0_[25] ), + .R(1'b0)); + FDRE \skid_buffer_reg[26] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[26]), + .Q(\skid_buffer_reg_n_0_[26] ), + .R(1'b0)); + FDRE \skid_buffer_reg[27] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[27]), + .Q(\skid_buffer_reg_n_0_[27] ), + .R(1'b0)); + FDRE \skid_buffer_reg[28] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[28]), + .Q(\skid_buffer_reg_n_0_[28] ), + .R(1'b0)); + FDRE \skid_buffer_reg[29] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[29]), + .Q(\skid_buffer_reg_n_0_[29] ), + .R(1'b0)); + FDRE \skid_buffer_reg[2] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[2]), + .Q(\skid_buffer_reg_n_0_[2] ), + .R(1'b0)); + FDRE \skid_buffer_reg[30] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[30]), + .Q(\skid_buffer_reg_n_0_[30] ), + .R(1'b0)); + FDRE \skid_buffer_reg[31] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[31]), + .Q(\skid_buffer_reg_n_0_[31] ), + .R(1'b0)); + FDRE \skid_buffer_reg[32] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rresp[0]), + .Q(\skid_buffer_reg_n_0_[32] ), + .R(1'b0)); + FDRE \skid_buffer_reg[33] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rresp[1]), + .Q(\skid_buffer_reg_n_0_[33] ), + .R(1'b0)); + FDRE \skid_buffer_reg[34] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rlast), + .Q(\skid_buffer_reg_n_0_[34] ), + .R(1'b0)); + FDRE \skid_buffer_reg[35] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rid[0]), + .Q(\skid_buffer_reg_n_0_[35] ), + .R(1'b0)); + FDRE \skid_buffer_reg[36] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rid[1]), + .Q(\skid_buffer_reg_n_0_[36] ), + .R(1'b0)); + FDRE \skid_buffer_reg[37] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rid[2]), + .Q(\skid_buffer_reg_n_0_[37] ), + .R(1'b0)); + FDRE \skid_buffer_reg[38] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rid[3]), + .Q(\skid_buffer_reg_n_0_[38] ), + .R(1'b0)); + FDRE \skid_buffer_reg[39] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rid[4]), + .Q(\skid_buffer_reg_n_0_[39] ), + .R(1'b0)); + FDRE \skid_buffer_reg[3] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[3]), + .Q(\skid_buffer_reg_n_0_[3] ), + .R(1'b0)); + FDRE \skid_buffer_reg[40] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rid[5]), + .Q(\skid_buffer_reg_n_0_[40] ), + .R(1'b0)); + FDRE \skid_buffer_reg[41] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rid[6]), + .Q(\skid_buffer_reg_n_0_[41] ), + .R(1'b0)); + FDRE \skid_buffer_reg[42] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rid[7]), + .Q(\skid_buffer_reg_n_0_[42] ), + .R(1'b0)); + FDRE \skid_buffer_reg[43] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rid[8]), + .Q(\skid_buffer_reg_n_0_[43] ), + .R(1'b0)); + FDRE \skid_buffer_reg[44] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rid[9]), + .Q(\skid_buffer_reg_n_0_[44] ), + .R(1'b0)); + FDRE \skid_buffer_reg[45] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rid[10]), + .Q(\skid_buffer_reg_n_0_[45] ), + .R(1'b0)); + FDRE \skid_buffer_reg[46] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rid[11]), + .Q(\skid_buffer_reg_n_0_[46] ), + .R(1'b0)); + FDRE \skid_buffer_reg[4] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[4]), + .Q(\skid_buffer_reg_n_0_[4] ), + .R(1'b0)); + FDRE \skid_buffer_reg[5] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[5]), + .Q(\skid_buffer_reg_n_0_[5] ), + .R(1'b0)); + FDRE \skid_buffer_reg[6] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[6]), + .Q(\skid_buffer_reg_n_0_[6] ), + .R(1'b0)); + FDRE \skid_buffer_reg[7] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[7]), + .Q(\skid_buffer_reg_n_0_[7] ), + .R(1'b0)); + FDRE \skid_buffer_reg[8] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[8]), + .Q(\skid_buffer_reg_n_0_[8] ), + .R(1'b0)); + FDRE \skid_buffer_reg[9] + (.C(aclk), + .CE(\m_axi_rready[1] ), + .D(m_axi_rdata[9]), + .Q(\skid_buffer_reg_n_0_[9] ), + .R(1'b0)); +endmodule + +(* ORIG_REF_NAME = "axi_register_slice_v2_1_9_axic_register_slice" *) +module system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2_8 + (m_valid_i_reg_0, + \m_axi_rready[0] , + \gen_no_arbiter.m_target_hot_i_reg[2] , + Q, + \gen_master_slots[0].r_issuing_cnt_reg[1] , + \aresetn_d_reg[1] , + aclk, + p_1_in, + m_axi_rvalid, + chosen_0, + s_axi_rready, + r_issuing_cnt, + m_axi_rid, + m_axi_rlast, + m_axi_rresp, + m_axi_rdata); + output m_valid_i_reg_0; + output \m_axi_rready[0] ; + output \gen_no_arbiter.m_target_hot_i_reg[2] ; + output [46:0]Q; + output \gen_master_slots[0].r_issuing_cnt_reg[1] ; + input \aresetn_d_reg[1] ; + input aclk; + input p_1_in; + input [0:0]m_axi_rvalid; + input [0:0]chosen_0; + input [0:0]s_axi_rready; + input [1:0]r_issuing_cnt; + input [11:0]m_axi_rid; + input [0:0]m_axi_rlast; + input [1:0]m_axi_rresp; + input [31:0]m_axi_rdata; + + wire [46:0]Q; + wire aclk; + wire \aresetn_d_reg[1] ; + wire [0:0]chosen_0; + wire \gen_master_slots[0].r_issuing_cnt_reg[1] ; + wire \gen_no_arbiter.m_target_hot_i_reg[2] ; + wire [31:0]m_axi_rdata; + wire [11:0]m_axi_rid; + wire [0:0]m_axi_rlast; + wire \m_axi_rready[0] ; + wire [1:0]m_axi_rresp; + wire [0:0]m_axi_rvalid; + wire m_valid_i0; + wire m_valid_i_reg_0; + wire p_1_in; + wire p_1_in_0; + wire [1:0]r_issuing_cnt; + wire [0:0]s_axi_rready; + wire s_ready_i0; + wire [46:0]skid_buffer; + wire \skid_buffer_reg_n_0_[0] ; + wire \skid_buffer_reg_n_0_[10] ; + wire \skid_buffer_reg_n_0_[11] ; + wire \skid_buffer_reg_n_0_[12] ; + wire \skid_buffer_reg_n_0_[13] ; + wire \skid_buffer_reg_n_0_[14] ; + wire \skid_buffer_reg_n_0_[15] ; + wire \skid_buffer_reg_n_0_[16] ; + wire \skid_buffer_reg_n_0_[17] ; + wire \skid_buffer_reg_n_0_[18] ; + wire \skid_buffer_reg_n_0_[19] ; + wire \skid_buffer_reg_n_0_[1] ; + wire \skid_buffer_reg_n_0_[20] ; + wire \skid_buffer_reg_n_0_[21] ; + wire \skid_buffer_reg_n_0_[22] ; + wire \skid_buffer_reg_n_0_[23] ; + wire \skid_buffer_reg_n_0_[24] ; + wire \skid_buffer_reg_n_0_[25] ; + wire \skid_buffer_reg_n_0_[26] ; + wire \skid_buffer_reg_n_0_[27] ; + wire \skid_buffer_reg_n_0_[28] ; + wire \skid_buffer_reg_n_0_[29] ; + wire \skid_buffer_reg_n_0_[2] ; + wire \skid_buffer_reg_n_0_[30] ; + wire \skid_buffer_reg_n_0_[31] ; + wire \skid_buffer_reg_n_0_[32] ; + wire \skid_buffer_reg_n_0_[33] ; + wire \skid_buffer_reg_n_0_[34] ; + wire \skid_buffer_reg_n_0_[35] ; + wire \skid_buffer_reg_n_0_[36] ; + wire \skid_buffer_reg_n_0_[37] ; + wire \skid_buffer_reg_n_0_[38] ; + wire \skid_buffer_reg_n_0_[39] ; + wire \skid_buffer_reg_n_0_[3] ; + wire \skid_buffer_reg_n_0_[40] ; + wire \skid_buffer_reg_n_0_[41] ; + wire \skid_buffer_reg_n_0_[42] ; + wire \skid_buffer_reg_n_0_[43] ; + wire \skid_buffer_reg_n_0_[44] ; + wire \skid_buffer_reg_n_0_[45] ; + wire \skid_buffer_reg_n_0_[46] ; + wire \skid_buffer_reg_n_0_[4] ; + wire \skid_buffer_reg_n_0_[5] ; + wire \skid_buffer_reg_n_0_[6] ; + wire \skid_buffer_reg_n_0_[7] ; + wire \skid_buffer_reg_n_0_[8] ; + wire \skid_buffer_reg_n_0_[9] ; + + LUT4 #( + .INIT(16'h8000)) + \gen_master_slots[0].r_issuing_cnt[1]_i_2 + (.I0(Q[34]), + .I1(s_axi_rready), + .I2(chosen_0), + .I3(m_valid_i_reg_0), + .O(\gen_master_slots[0].r_issuing_cnt_reg[1] )); + LUT6 #( + .INIT(64'h0444444444444444)) + \gen_no_arbiter.s_ready_i[0]_i_10__0 + (.I0(r_issuing_cnt[0]), + .I1(r_issuing_cnt[1]), + .I2(m_valid_i_reg_0), + .I3(chosen_0), + .I4(s_axi_rready), + .I5(Q[34]), + .O(\gen_no_arbiter.m_target_hot_i_reg[2] )); + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[0]_i_1 + (.I0(m_axi_rdata[0]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[0] ), + .O(skid_buffer[0])); + (* SOFT_HLUTNM = "soft_lutpair25" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[10]_i_1 + (.I0(m_axi_rdata[10]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[10] ), + .O(skid_buffer[10])); + (* SOFT_HLUTNM = "soft_lutpair24" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[11]_i_1 + (.I0(m_axi_rdata[11]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[11] ), + .O(skid_buffer[11])); + (* SOFT_HLUTNM = "soft_lutpair24" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[12]_i_1 + (.I0(m_axi_rdata[12]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[12] ), + .O(skid_buffer[12])); + (* SOFT_HLUTNM = "soft_lutpair23" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[13]_i_1__2 + (.I0(m_axi_rdata[13]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[13] ), + .O(skid_buffer[13])); + (* SOFT_HLUTNM = "soft_lutpair23" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[14]_i_1 + (.I0(m_axi_rdata[14]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[14] ), + .O(skid_buffer[14])); + (* SOFT_HLUTNM = "soft_lutpair22" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[15]_i_1 + (.I0(m_axi_rdata[15]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[15] ), + .O(skid_buffer[15])); + (* SOFT_HLUTNM = "soft_lutpair22" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[16]_i_1 + (.I0(m_axi_rdata[16]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[16] ), + .O(skid_buffer[16])); + (* SOFT_HLUTNM = "soft_lutpair21" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[17]_i_1 + (.I0(m_axi_rdata[17]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[17] ), + .O(skid_buffer[17])); + (* SOFT_HLUTNM = "soft_lutpair21" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[18]_i_1 + (.I0(m_axi_rdata[18]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[18] ), + .O(skid_buffer[18])); + (* SOFT_HLUTNM = "soft_lutpair20" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[19]_i_1 + (.I0(m_axi_rdata[19]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[19] ), + .O(skid_buffer[19])); + (* SOFT_HLUTNM = "soft_lutpair29" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[1]_i_1 + (.I0(m_axi_rdata[1]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[1] ), + .O(skid_buffer[1])); + (* SOFT_HLUTNM = "soft_lutpair20" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[20]_i_1 + (.I0(m_axi_rdata[20]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[20] ), + .O(skid_buffer[20])); + (* SOFT_HLUTNM = "soft_lutpair19" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[21]_i_1 + (.I0(m_axi_rdata[21]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[21] ), + .O(skid_buffer[21])); + (* SOFT_HLUTNM = "soft_lutpair19" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[22]_i_1 + (.I0(m_axi_rdata[22]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[22] ), + .O(skid_buffer[22])); + (* SOFT_HLUTNM = "soft_lutpair18" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[23]_i_1 + (.I0(m_axi_rdata[23]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[23] ), + .O(skid_buffer[23])); + (* SOFT_HLUTNM = "soft_lutpair18" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[24]_i_1 + (.I0(m_axi_rdata[24]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[24] ), + .O(skid_buffer[24])); + (* SOFT_HLUTNM = "soft_lutpair17" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[25]_i_1 + (.I0(m_axi_rdata[25]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[25] ), + .O(skid_buffer[25])); + (* SOFT_HLUTNM = "soft_lutpair17" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[26]_i_1 + (.I0(m_axi_rdata[26]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[26] ), + .O(skid_buffer[26])); + (* SOFT_HLUTNM = "soft_lutpair16" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[27]_i_1 + (.I0(m_axi_rdata[27]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[27] ), + .O(skid_buffer[27])); + (* SOFT_HLUTNM = "soft_lutpair16" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[28]_i_1 + (.I0(m_axi_rdata[28]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[28] ), + .O(skid_buffer[28])); + (* SOFT_HLUTNM = "soft_lutpair15" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[29]_i_1 + (.I0(m_axi_rdata[29]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[29] ), + .O(skid_buffer[29])); + (* SOFT_HLUTNM = "soft_lutpair29" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[2]_i_1 + (.I0(m_axi_rdata[2]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[2] ), + .O(skid_buffer[2])); + (* SOFT_HLUTNM = "soft_lutpair15" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[30]_i_1 + (.I0(m_axi_rdata[30]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[30] ), + .O(skid_buffer[30])); + (* SOFT_HLUTNM = "soft_lutpair14" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[31]_i_1 + (.I0(m_axi_rdata[31]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[31] ), + .O(skid_buffer[31])); + (* SOFT_HLUTNM = "soft_lutpair14" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[32]_i_1 + (.I0(m_axi_rresp[0]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[32] ), + .O(skid_buffer[32])); + (* SOFT_HLUTNM = "soft_lutpair13" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[33]_i_1 + (.I0(m_axi_rresp[1]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[33] ), + .O(skid_buffer[33])); + (* SOFT_HLUTNM = "soft_lutpair13" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[34]_i_1 + (.I0(m_axi_rlast), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[34] ), + .O(skid_buffer[34])); + (* SOFT_HLUTNM = "soft_lutpair12" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[35]_i_1 + (.I0(m_axi_rid[0]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[35] ), + .O(skid_buffer[35])); + (* SOFT_HLUTNM = "soft_lutpair12" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[36]_i_1 + (.I0(m_axi_rid[1]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[36] ), + .O(skid_buffer[36])); + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[37]_i_1 + (.I0(m_axi_rid[2]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[37] ), + .O(skid_buffer[37])); + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[38]_i_1 + (.I0(m_axi_rid[3]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[38] ), + .O(skid_buffer[38])); + (* SOFT_HLUTNM = "soft_lutpair10" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[39]_i_1 + (.I0(m_axi_rid[4]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[39] ), + .O(skid_buffer[39])); + (* SOFT_HLUTNM = "soft_lutpair28" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[3]_i_1 + (.I0(m_axi_rdata[3]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[3] ), + .O(skid_buffer[3])); + (* SOFT_HLUTNM = "soft_lutpair10" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[40]_i_1 + (.I0(m_axi_rid[5]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[40] ), + .O(skid_buffer[40])); + (* SOFT_HLUTNM = "soft_lutpair9" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[41]_i_1 + (.I0(m_axi_rid[6]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[41] ), + .O(skid_buffer[41])); + (* SOFT_HLUTNM = "soft_lutpair9" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[42]_i_1 + (.I0(m_axi_rid[7]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[42] ), + .O(skid_buffer[42])); + (* SOFT_HLUTNM = "soft_lutpair8" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[43]_i_1 + (.I0(m_axi_rid[8]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[43] ), + .O(skid_buffer[43])); + (* SOFT_HLUTNM = "soft_lutpair8" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[44]_i_1 + (.I0(m_axi_rid[9]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[44] ), + .O(skid_buffer[44])); + (* SOFT_HLUTNM = "soft_lutpair7" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[45]_i_1 + (.I0(m_axi_rid[10]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[45] ), + .O(skid_buffer[45])); + LUT3 #( + .INIT(8'hD5)) + \m_payload_i[46]_i_1 + (.I0(m_valid_i_reg_0), + .I1(chosen_0), + .I2(s_axi_rready), + .O(p_1_in_0)); + (* SOFT_HLUTNM = "soft_lutpair7" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[46]_i_2 + (.I0(m_axi_rid[11]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[46] ), + .O(skid_buffer[46])); + (* SOFT_HLUTNM = "soft_lutpair28" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[4]_i_1 + (.I0(m_axi_rdata[4]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[4] ), + .O(skid_buffer[4])); + (* SOFT_HLUTNM = "soft_lutpair27" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[5]_i_1 + (.I0(m_axi_rdata[5]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[5] ), + .O(skid_buffer[5])); + (* SOFT_HLUTNM = "soft_lutpair27" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[6]_i_1 + (.I0(m_axi_rdata[6]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[6] ), + .O(skid_buffer[6])); + (* SOFT_HLUTNM = "soft_lutpair26" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[7]_i_1 + (.I0(m_axi_rdata[7]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[7] ), + .O(skid_buffer[7])); + (* SOFT_HLUTNM = "soft_lutpair26" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[8]_i_1 + (.I0(m_axi_rdata[8]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[8] ), + .O(skid_buffer[8])); + (* SOFT_HLUTNM = "soft_lutpair25" *) + LUT3 #( + .INIT(8'hB8)) + \m_payload_i[9]_i_1 + (.I0(m_axi_rdata[9]), + .I1(\m_axi_rready[0] ), + .I2(\skid_buffer_reg_n_0_[9] ), + .O(skid_buffer[9])); + FDRE \m_payload_i_reg[0] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[0]), + .Q(Q[0]), + .R(1'b0)); + FDRE \m_payload_i_reg[10] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[10]), + .Q(Q[10]), + .R(1'b0)); + FDRE \m_payload_i_reg[11] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[11]), + .Q(Q[11]), + .R(1'b0)); + FDRE \m_payload_i_reg[12] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[12]), + .Q(Q[12]), + .R(1'b0)); + FDRE \m_payload_i_reg[13] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[13]), + .Q(Q[13]), + .R(1'b0)); + FDRE \m_payload_i_reg[14] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[14]), + .Q(Q[14]), + .R(1'b0)); + FDRE \m_payload_i_reg[15] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[15]), + .Q(Q[15]), + .R(1'b0)); + FDRE \m_payload_i_reg[16] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[16]), + .Q(Q[16]), + .R(1'b0)); + FDRE \m_payload_i_reg[17] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[17]), + .Q(Q[17]), + .R(1'b0)); + FDRE \m_payload_i_reg[18] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[18]), + .Q(Q[18]), + .R(1'b0)); + FDRE \m_payload_i_reg[19] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[19]), + .Q(Q[19]), + .R(1'b0)); + FDRE \m_payload_i_reg[1] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[1]), + .Q(Q[1]), + .R(1'b0)); + FDRE \m_payload_i_reg[20] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[20]), + .Q(Q[20]), + .R(1'b0)); + FDRE \m_payload_i_reg[21] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[21]), + .Q(Q[21]), + .R(1'b0)); + FDRE \m_payload_i_reg[22] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[22]), + .Q(Q[22]), + .R(1'b0)); + FDRE \m_payload_i_reg[23] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[23]), + .Q(Q[23]), + .R(1'b0)); + FDRE \m_payload_i_reg[24] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[24]), + .Q(Q[24]), + .R(1'b0)); + FDRE \m_payload_i_reg[25] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[25]), + .Q(Q[25]), + .R(1'b0)); + FDRE \m_payload_i_reg[26] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[26]), + .Q(Q[26]), + .R(1'b0)); + FDRE \m_payload_i_reg[27] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[27]), + .Q(Q[27]), + .R(1'b0)); + FDRE \m_payload_i_reg[28] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[28]), + .Q(Q[28]), + .R(1'b0)); + FDRE \m_payload_i_reg[29] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[29]), + .Q(Q[29]), + .R(1'b0)); + FDRE \m_payload_i_reg[2] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[2]), + .Q(Q[2]), + .R(1'b0)); + FDRE \m_payload_i_reg[30] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[30]), + .Q(Q[30]), + .R(1'b0)); + FDRE \m_payload_i_reg[31] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[31]), + .Q(Q[31]), + .R(1'b0)); + FDRE \m_payload_i_reg[32] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[32]), + .Q(Q[32]), + .R(1'b0)); + FDRE \m_payload_i_reg[33] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[33]), + .Q(Q[33]), + .R(1'b0)); + FDRE \m_payload_i_reg[34] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[34]), + .Q(Q[34]), + .R(1'b0)); + FDRE \m_payload_i_reg[35] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[35]), + .Q(Q[35]), + .R(1'b0)); + FDRE \m_payload_i_reg[36] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[36]), + .Q(Q[36]), + .R(1'b0)); + FDRE \m_payload_i_reg[37] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[37]), + .Q(Q[37]), + .R(1'b0)); + FDRE \m_payload_i_reg[38] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[38]), + .Q(Q[38]), + .R(1'b0)); + FDRE \m_payload_i_reg[39] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[39]), + .Q(Q[39]), + .R(1'b0)); + FDRE \m_payload_i_reg[3] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[3]), + .Q(Q[3]), + .R(1'b0)); + FDRE \m_payload_i_reg[40] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[40]), + .Q(Q[40]), + .R(1'b0)); + FDRE \m_payload_i_reg[41] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[41]), + .Q(Q[41]), + .R(1'b0)); + FDRE \m_payload_i_reg[42] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[42]), + .Q(Q[42]), + .R(1'b0)); + FDRE \m_payload_i_reg[43] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[43]), + .Q(Q[43]), + .R(1'b0)); + FDRE \m_payload_i_reg[44] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[44]), + .Q(Q[44]), + .R(1'b0)); + FDRE \m_payload_i_reg[45] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[45]), + .Q(Q[45]), + .R(1'b0)); + FDRE \m_payload_i_reg[46] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[46]), + .Q(Q[46]), + .R(1'b0)); + FDRE \m_payload_i_reg[4] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[4]), + .Q(Q[4]), + .R(1'b0)); + FDRE \m_payload_i_reg[5] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[5]), + .Q(Q[5]), + .R(1'b0)); + FDRE \m_payload_i_reg[6] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[6]), + .Q(Q[6]), + .R(1'b0)); + FDRE \m_payload_i_reg[7] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[7]), + .Q(Q[7]), + .R(1'b0)); + FDRE \m_payload_i_reg[8] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[8]), + .Q(Q[8]), + .R(1'b0)); + FDRE \m_payload_i_reg[9] + (.C(aclk), + .CE(p_1_in_0), + .D(skid_buffer[9]), + .Q(Q[9]), + .R(1'b0)); + LUT5 #( + .INIT(32'hFF2AFFFF)) + m_valid_i_i_1__2 + (.I0(m_valid_i_reg_0), + .I1(chosen_0), + .I2(s_axi_rready), + .I3(m_axi_rvalid), + .I4(\m_axi_rready[0] ), + .O(m_valid_i0)); + FDRE m_valid_i_reg + (.C(aclk), + .CE(1'b1), + .D(m_valid_i0), + .Q(m_valid_i_reg_0), + .R(\aresetn_d_reg[1] )); + LUT5 #( + .INIT(32'hFF4F4F4F)) + s_ready_i_i_1 + (.I0(m_axi_rvalid), + .I1(\m_axi_rready[0] ), + .I2(m_valid_i_reg_0), + .I3(chosen_0), + .I4(s_axi_rready), + .O(s_ready_i0)); + FDRE s_ready_i_reg + (.C(aclk), + .CE(1'b1), + .D(s_ready_i0), + .Q(\m_axi_rready[0] ), + .R(p_1_in)); + FDRE \skid_buffer_reg[0] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[0]), + .Q(\skid_buffer_reg_n_0_[0] ), + .R(1'b0)); + FDRE \skid_buffer_reg[10] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[10]), + .Q(\skid_buffer_reg_n_0_[10] ), + .R(1'b0)); + FDRE \skid_buffer_reg[11] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[11]), + .Q(\skid_buffer_reg_n_0_[11] ), + .R(1'b0)); + FDRE \skid_buffer_reg[12] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[12]), + .Q(\skid_buffer_reg_n_0_[12] ), + .R(1'b0)); + FDRE \skid_buffer_reg[13] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[13]), + .Q(\skid_buffer_reg_n_0_[13] ), + .R(1'b0)); + FDRE \skid_buffer_reg[14] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[14]), + .Q(\skid_buffer_reg_n_0_[14] ), + .R(1'b0)); + FDRE \skid_buffer_reg[15] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[15]), + .Q(\skid_buffer_reg_n_0_[15] ), + .R(1'b0)); + FDRE \skid_buffer_reg[16] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[16]), + .Q(\skid_buffer_reg_n_0_[16] ), + .R(1'b0)); + FDRE \skid_buffer_reg[17] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[17]), + .Q(\skid_buffer_reg_n_0_[17] ), + .R(1'b0)); + FDRE \skid_buffer_reg[18] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[18]), + .Q(\skid_buffer_reg_n_0_[18] ), + .R(1'b0)); + FDRE \skid_buffer_reg[19] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[19]), + .Q(\skid_buffer_reg_n_0_[19] ), + .R(1'b0)); + FDRE \skid_buffer_reg[1] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[1]), + .Q(\skid_buffer_reg_n_0_[1] ), + .R(1'b0)); + FDRE \skid_buffer_reg[20] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[20]), + .Q(\skid_buffer_reg_n_0_[20] ), + .R(1'b0)); + FDRE \skid_buffer_reg[21] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[21]), + .Q(\skid_buffer_reg_n_0_[21] ), + .R(1'b0)); + FDRE \skid_buffer_reg[22] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[22]), + .Q(\skid_buffer_reg_n_0_[22] ), + .R(1'b0)); + FDRE \skid_buffer_reg[23] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[23]), + .Q(\skid_buffer_reg_n_0_[23] ), + .R(1'b0)); + FDRE \skid_buffer_reg[24] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[24]), + .Q(\skid_buffer_reg_n_0_[24] ), + .R(1'b0)); + FDRE \skid_buffer_reg[25] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[25]), + .Q(\skid_buffer_reg_n_0_[25] ), + .R(1'b0)); + FDRE \skid_buffer_reg[26] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[26]), + .Q(\skid_buffer_reg_n_0_[26] ), + .R(1'b0)); + FDRE \skid_buffer_reg[27] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[27]), + .Q(\skid_buffer_reg_n_0_[27] ), + .R(1'b0)); + FDRE \skid_buffer_reg[28] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[28]), + .Q(\skid_buffer_reg_n_0_[28] ), + .R(1'b0)); + FDRE \skid_buffer_reg[29] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[29]), + .Q(\skid_buffer_reg_n_0_[29] ), + .R(1'b0)); + FDRE \skid_buffer_reg[2] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[2]), + .Q(\skid_buffer_reg_n_0_[2] ), + .R(1'b0)); + FDRE \skid_buffer_reg[30] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[30]), + .Q(\skid_buffer_reg_n_0_[30] ), + .R(1'b0)); + FDRE \skid_buffer_reg[31] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[31]), + .Q(\skid_buffer_reg_n_0_[31] ), + .R(1'b0)); + FDRE \skid_buffer_reg[32] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rresp[0]), + .Q(\skid_buffer_reg_n_0_[32] ), + .R(1'b0)); + FDRE \skid_buffer_reg[33] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rresp[1]), + .Q(\skid_buffer_reg_n_0_[33] ), + .R(1'b0)); + FDRE \skid_buffer_reg[34] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rlast), + .Q(\skid_buffer_reg_n_0_[34] ), + .R(1'b0)); + FDRE \skid_buffer_reg[35] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rid[0]), + .Q(\skid_buffer_reg_n_0_[35] ), + .R(1'b0)); + FDRE \skid_buffer_reg[36] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rid[1]), + .Q(\skid_buffer_reg_n_0_[36] ), + .R(1'b0)); + FDRE \skid_buffer_reg[37] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rid[2]), + .Q(\skid_buffer_reg_n_0_[37] ), + .R(1'b0)); + FDRE \skid_buffer_reg[38] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rid[3]), + .Q(\skid_buffer_reg_n_0_[38] ), + .R(1'b0)); + FDRE \skid_buffer_reg[39] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rid[4]), + .Q(\skid_buffer_reg_n_0_[39] ), + .R(1'b0)); + FDRE \skid_buffer_reg[3] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[3]), + .Q(\skid_buffer_reg_n_0_[3] ), + .R(1'b0)); + FDRE \skid_buffer_reg[40] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rid[5]), + .Q(\skid_buffer_reg_n_0_[40] ), + .R(1'b0)); + FDRE \skid_buffer_reg[41] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rid[6]), + .Q(\skid_buffer_reg_n_0_[41] ), + .R(1'b0)); + FDRE \skid_buffer_reg[42] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rid[7]), + .Q(\skid_buffer_reg_n_0_[42] ), + .R(1'b0)); + FDRE \skid_buffer_reg[43] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rid[8]), + .Q(\skid_buffer_reg_n_0_[43] ), + .R(1'b0)); + FDRE \skid_buffer_reg[44] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rid[9]), + .Q(\skid_buffer_reg_n_0_[44] ), + .R(1'b0)); + FDRE \skid_buffer_reg[45] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rid[10]), + .Q(\skid_buffer_reg_n_0_[45] ), + .R(1'b0)); + FDRE \skid_buffer_reg[46] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rid[11]), + .Q(\skid_buffer_reg_n_0_[46] ), + .R(1'b0)); + FDRE \skid_buffer_reg[4] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[4]), + .Q(\skid_buffer_reg_n_0_[4] ), + .R(1'b0)); + FDRE \skid_buffer_reg[5] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[5]), + .Q(\skid_buffer_reg_n_0_[5] ), + .R(1'b0)); + FDRE \skid_buffer_reg[6] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[6]), + .Q(\skid_buffer_reg_n_0_[6] ), + .R(1'b0)); + FDRE \skid_buffer_reg[7] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[7]), + .Q(\skid_buffer_reg_n_0_[7] ), + .R(1'b0)); + FDRE \skid_buffer_reg[8] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[8]), + .Q(\skid_buffer_reg_n_0_[8] ), + .R(1'b0)); + FDRE \skid_buffer_reg[9] + (.C(aclk), + .CE(\m_axi_rready[0] ), + .D(m_axi_rdata[9]), + .Q(\skid_buffer_reg_n_0_[9] ), + .R(1'b0)); +endmodule +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule +`endif diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_sim_netlist.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_sim_netlist.vhdl new file mode 100644 index 0000000000000000000000000000000000000000..a8f503b9066b9554331e51775869900ac754f554 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_sim_netlist.vhdl @@ -0,0 +1,17748 @@ +-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +-- Date : Mon Dec 18 11:25:30 2017 +-- Host : lapte24154 running 64-bit openSUSE Leap 42.2 +-- Command : write_vhdl -force -mode funcsim +-- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_sim_netlist.vhdl +-- Design : system_design_xbar_1 +-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or +-- synthesized. This netlist cannot be used for SDF annotated simulation. +-- Device : xc7z030ffg676-2 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_xbar_1_axi_crossbar_v2_1_10_addr_arbiter is + port ( + S_AXI_ARREADY : out STD_LOGIC_VECTOR ( 0 to 0 ); + aa_mi_arvalid : out STD_LOGIC; + \gen_master_slots[0].r_issuing_cnt_reg[1]\ : out STD_LOGIC; + \gen_no_arbiter.m_valid_i_reg_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_master_slots[0].r_issuing_cnt_reg[0]\ : out STD_LOGIC; + s_axi_rvalid_i : out STD_LOGIC; + \gen_master_slots[2].r_issuing_cnt_reg[16]\ : out STD_LOGIC; + m_axi_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + match : out STD_LOGIC; + \gen_axi.s_axi_rlast_i_reg\ : out STD_LOGIC; + \m_axi_arqos[7]\ : out STD_LOGIC_VECTOR ( 68 downto 0 ); + aresetn_d_reg : in STD_LOGIC; + aclk : in STD_LOGIC; + SR : in STD_LOGIC_VECTOR ( 0 to 0 ); + r_issuing_cnt : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \m_payload_i_reg[34]\ : in STD_LOGIC; + m_axi_arready : in STD_LOGIC_VECTOR ( 0 to 0 ); + mi_arready_2 : in STD_LOGIC; + p_15_in : in STD_LOGIC; + D : in STD_LOGIC_VECTOR ( 68 downto 0 ); + m_valid_i : in STD_LOGIC; + aresetn_d : in STD_LOGIC; + aresetn_d_reg_0 : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_xbar_1_axi_crossbar_v2_1_10_addr_arbiter : entity is "axi_crossbar_v2_1_10_addr_arbiter"; +end system_design_xbar_1_axi_crossbar_v2_1_10_addr_arbiter; + +architecture STRUCTURE of system_design_xbar_1_axi_crossbar_v2_1_10_addr_arbiter is + signal aa_mi_artarget_hot : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^aa_mi_arvalid\ : STD_LOGIC; + signal \gen_axi.s_axi_rlast_i_i_4_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_14__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_15__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_16__0_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.m_target_hot_i[0]_i_1_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.m_valid_i_i_1__0_n_0\ : STD_LOGIC; + signal \^gen_no_arbiter.m_valid_i_reg_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^m_axi_arqos[7]\ : STD_LOGIC_VECTOR ( 68 downto 0 ); + signal \^match\ : STD_LOGIC; + signal s_ready_i2 : STD_LOGIC; + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \gen_axi.s_axi_rid_i[11]_i_1\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \gen_master_slots[2].r_issuing_cnt[16]_i_2\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \gen_no_arbiter.m_target_hot_i[0]_i_1\ : label is "soft_lutpair0"; + attribute SOFT_HLUTNM of \m_axi_arvalid[0]_INST_0\ : label is "soft_lutpair0"; +begin + aa_mi_arvalid <= \^aa_mi_arvalid\; + \gen_no_arbiter.m_valid_i_reg_0\(0) <= \^gen_no_arbiter.m_valid_i_reg_0\(0); + \m_axi_arqos[7]\(68 downto 0) <= \^m_axi_arqos[7]\(68 downto 0); + match <= \^match\; +\gen_axi.s_axi_rid_i[11]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0080" + ) + port map ( + I0 => \^gen_no_arbiter.m_valid_i_reg_0\(0), + I1 => mi_arready_2, + I2 => \^aa_mi_arvalid\, + I3 => p_15_in, + O => s_axi_rvalid_i + ); +\gen_axi.s_axi_rlast_i_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00000001" + ) + port map ( + I0 => \^m_axi_arqos[7]\(51), + I1 => \^m_axi_arqos[7]\(50), + I2 => \^m_axi_arqos[7]\(49), + I3 => \^m_axi_arqos[7]\(48), + I4 => \gen_axi.s_axi_rlast_i_i_4_n_0\, + O => \gen_axi.s_axi_rlast_i_reg\ + ); +\gen_axi.s_axi_rlast_i_i_4\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => \^m_axi_arqos[7]\(44), + I1 => \^m_axi_arqos[7]\(45), + I2 => \^m_axi_arqos[7]\(46), + I3 => \^m_axi_arqos[7]\(47), + O => \gen_axi.s_axi_rlast_i_i_4_n_0\ + ); +\gen_master_slots[0].r_issuing_cnt[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"807F807F7F800080" + ) + port map ( + I0 => \^aa_mi_arvalid\, + I1 => aa_mi_artarget_hot(0), + I2 => m_axi_arready(0), + I3 => \m_payload_i_reg[34]\, + I4 => r_issuing_cnt(1), + I5 => r_issuing_cnt(0), + O => \gen_master_slots[0].r_issuing_cnt_reg[0]\ + ); +\gen_master_slots[0].r_issuing_cnt[1]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"C68C8C8C8C8C8C8C" + ) + port map ( + I0 => r_issuing_cnt(0), + I1 => r_issuing_cnt(1), + I2 => \m_payload_i_reg[34]\, + I3 => m_axi_arready(0), + I4 => aa_mi_artarget_hot(0), + I5 => \^aa_mi_arvalid\, + O => \gen_master_slots[0].r_issuing_cnt_reg[1]\ + ); +\gen_master_slots[2].r_issuing_cnt[16]_i_2\: unisim.vcomponents.LUT3 + generic map( + INIT => X"80" + ) + port map ( + I0 => \^aa_mi_arvalid\, + I1 => mi_arready_2, + I2 => \^gen_no_arbiter.m_valid_i_reg_0\(0), + O => \gen_master_slots[2].r_issuing_cnt_reg[16]\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_14__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => D(31), + I1 => D(32), + I2 => D(30), + I3 => D(41), + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_14__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_15__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FFFFFFFE" + ) + port map ( + I0 => D(40), + I1 => D(33), + I2 => D(38), + I3 => D(39), + I4 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_16__0_n_0\, + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_15__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_16__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => D(36), + I1 => D(37), + I2 => D(42), + I3 => D(34), + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_16__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_7__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000000100" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_14__0_n_0\, + I1 => D(29), + I2 => D(28), + I3 => D(43), + I4 => D(35), + I5 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_15__0_n_0\, + O => \^match\ + ); +\gen_no_arbiter.m_mesg_i[11]_i_1__0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^aa_mi_arvalid\, + O => s_ready_i2 + ); +\gen_no_arbiter.m_mesg_i_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(0), + Q => \^m_axi_arqos[7]\(0), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(10), + Q => \^m_axi_arqos[7]\(10), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(11), + Q => \^m_axi_arqos[7]\(11), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[12]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(12), + Q => \^m_axi_arqos[7]\(12), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[13]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(13), + Q => \^m_axi_arqos[7]\(13), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[14]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(14), + Q => \^m_axi_arqos[7]\(14), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[15]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(15), + Q => \^m_axi_arqos[7]\(15), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[16]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(16), + Q => \^m_axi_arqos[7]\(16), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[17]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(17), + Q => \^m_axi_arqos[7]\(17), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[18]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(18), + Q => \^m_axi_arqos[7]\(18), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[19]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(19), + Q => \^m_axi_arqos[7]\(19), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(1), + Q => \^m_axi_arqos[7]\(1), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[20]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(20), + Q => \^m_axi_arqos[7]\(20), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[21]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(21), + Q => \^m_axi_arqos[7]\(21), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[22]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(22), + Q => \^m_axi_arqos[7]\(22), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[23]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(23), + Q => \^m_axi_arqos[7]\(23), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[24]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(24), + Q => \^m_axi_arqos[7]\(24), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[25]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(25), + Q => \^m_axi_arqos[7]\(25), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[26]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(26), + Q => \^m_axi_arqos[7]\(26), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[27]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(27), + Q => \^m_axi_arqos[7]\(27), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[28]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(28), + Q => \^m_axi_arqos[7]\(28), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[29]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(29), + Q => \^m_axi_arqos[7]\(29), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(2), + Q => \^m_axi_arqos[7]\(2), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[30]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(30), + Q => \^m_axi_arqos[7]\(30), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[31]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(31), + Q => \^m_axi_arqos[7]\(31), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[32]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(32), + Q => \^m_axi_arqos[7]\(32), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[33]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(33), + Q => \^m_axi_arqos[7]\(33), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[34]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(34), + Q => \^m_axi_arqos[7]\(34), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[35]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(35), + Q => \^m_axi_arqos[7]\(35), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[36]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(36), + Q => \^m_axi_arqos[7]\(36), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[37]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(37), + Q => \^m_axi_arqos[7]\(37), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[38]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(38), + Q => \^m_axi_arqos[7]\(38), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[39]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(39), + Q => \^m_axi_arqos[7]\(39), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(3), + Q => \^m_axi_arqos[7]\(3), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[40]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(40), + Q => \^m_axi_arqos[7]\(40), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[41]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(41), + Q => \^m_axi_arqos[7]\(41), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[42]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(42), + Q => \^m_axi_arqos[7]\(42), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[43]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(43), + Q => \^m_axi_arqos[7]\(43), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[44]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(44), + Q => \^m_axi_arqos[7]\(44), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[45]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(45), + Q => \^m_axi_arqos[7]\(45), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[46]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(46), + Q => \^m_axi_arqos[7]\(46), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[47]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(47), + Q => \^m_axi_arqos[7]\(47), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[48]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(48), + Q => \^m_axi_arqos[7]\(48), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[49]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(49), + Q => \^m_axi_arqos[7]\(49), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(4), + Q => \^m_axi_arqos[7]\(4), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[50]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(50), + Q => \^m_axi_arqos[7]\(50), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[51]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(51), + Q => \^m_axi_arqos[7]\(51), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[52]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(52), + Q => \^m_axi_arqos[7]\(52), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[53]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(53), + Q => \^m_axi_arqos[7]\(53), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[54]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(54), + Q => \^m_axi_arqos[7]\(54), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[55]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(55), + Q => \^m_axi_arqos[7]\(55), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[57]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(56), + Q => \^m_axi_arqos[7]\(56), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[58]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(57), + Q => \^m_axi_arqos[7]\(57), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[59]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(58), + Q => \^m_axi_arqos[7]\(58), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(5), + Q => \^m_axi_arqos[7]\(5), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[64]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(59), + Q => \^m_axi_arqos[7]\(59), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[65]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(60), + Q => \^m_axi_arqos[7]\(60), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[66]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(61), + Q => \^m_axi_arqos[7]\(61), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[67]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(62), + Q => \^m_axi_arqos[7]\(62), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[68]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(63), + Q => \^m_axi_arqos[7]\(63), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[69]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(64), + Q => \^m_axi_arqos[7]\(64), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(6), + Q => \^m_axi_arqos[7]\(6), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[70]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(65), + Q => \^m_axi_arqos[7]\(65), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[71]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(66), + Q => \^m_axi_arqos[7]\(66), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[72]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(67), + Q => \^m_axi_arqos[7]\(67), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[73]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(68), + Q => \^m_axi_arqos[7]\(68), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(7), + Q => \^m_axi_arqos[7]\(7), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[8]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(8), + Q => \^m_axi_arqos[7]\(8), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(9), + Q => \^m_axi_arqos[7]\(9), + R => SR(0) + ); +\gen_no_arbiter.m_target_hot_i[0]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"BF80" + ) + port map ( + I0 => \^match\, + I1 => m_valid_i, + I2 => aresetn_d, + I3 => aa_mi_artarget_hot(0), + O => \gen_no_arbiter.m_target_hot_i[0]_i_1_n_0\ + ); +\gen_no_arbiter.m_target_hot_i_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \gen_no_arbiter.m_target_hot_i[0]_i_1_n_0\, + Q => aa_mi_artarget_hot(0), + R => '0' + ); +\gen_no_arbiter.m_target_hot_i_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => aresetn_d_reg_0, + Q => \^gen_no_arbiter.m_valid_i_reg_0\(0), + R => '0' + ); +\gen_no_arbiter.m_valid_i_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAAABFAABFAABFAA" + ) + port map ( + I0 => m_valid_i, + I1 => m_axi_arready(0), + I2 => aa_mi_artarget_hot(0), + I3 => \^aa_mi_arvalid\, + I4 => mi_arready_2, + I5 => \^gen_no_arbiter.m_valid_i_reg_0\(0), + O => \gen_no_arbiter.m_valid_i_i_1__0_n_0\ + ); +\gen_no_arbiter.m_valid_i_reg\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \gen_no_arbiter.m_valid_i_i_1__0_n_0\, + Q => \^aa_mi_arvalid\, + R => SR(0) + ); +\gen_no_arbiter.s_ready_i_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => aresetn_d_reg, + Q => S_AXI_ARREADY(0), + R => '0' + ); +\m_axi_arvalid[0]_INST_0\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => \^aa_mi_arvalid\, + I1 => aa_mi_artarget_hot(0), + O => m_axi_arvalid(0) + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_xbar_1_axi_crossbar_v2_1_10_addr_arbiter_0 is + port ( + ss_aa_awready : out STD_LOGIC; + aa_sa_awvalid : out STD_LOGIC; + \gen_master_slots[0].w_issuing_cnt_reg[1]\ : out STD_LOGIC; + \gen_master_slots[0].w_issuing_cnt_reg[1]_0\ : out STD_LOGIC; + m_axi_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + aa_mi_awtarget_hot : out STD_LOGIC_VECTOR ( 1 downto 0 ); + \gen_master_slots[2].w_issuing_cnt_reg[16]\ : out STD_LOGIC; + \m_ready_d_reg[1]\ : out STD_LOGIC; + \gen_no_arbiter.s_ready_i_reg[0]_0\ : out STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]_0\ : out STD_LOGIC; + match : out STD_LOGIC; + \gen_master_slots[2].w_issuing_cnt_reg[16]_0\ : out STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 68 downto 0 ); + \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\ : in STD_LOGIC; + aclk : in STD_LOGIC; + SR : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_no_arbiter.m_valid_i_reg_0\ : in STD_LOGIC; + chosen : in STD_LOGIC_VECTOR ( 1 downto 0 ); + p_80_out : in STD_LOGIC; + s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); + w_issuing_cnt : in STD_LOGIC_VECTOR ( 2 downto 0 ); + m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awready : in STD_LOGIC_VECTOR ( 0 to 0 ); + mi_awready_2 : in STD_LOGIC; + m_ready_d_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + D : in STD_LOGIC_VECTOR ( 68 downto 0 ); + p_38_out : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]_0\ : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_target_reg[57]\ : in STD_LOGIC; + aresetn_d : in STD_LOGIC; + aresetn_d_reg : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_xbar_1_axi_crossbar_v2_1_10_addr_arbiter_0 : entity is "axi_crossbar_v2_1_10_addr_arbiter"; +end system_design_xbar_1_axi_crossbar_v2_1_10_addr_arbiter_0; + +architecture STRUCTURE of system_design_xbar_1_axi_crossbar_v2_1_10_addr_arbiter_0 is + signal \^aa_mi_awtarget_hot\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \^aa_sa_awvalid\ : STD_LOGIC; + signal \^gen_master_slots[0].w_issuing_cnt_reg[1]_0\ : STD_LOGIC; + signal \^gen_master_slots[2].w_issuing_cnt_reg[16]\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_14_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_15_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_16_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.m_target_hot_i[0]_i_1_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_10_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_11_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_17_n_0\ : STD_LOGIC; + signal \^match\ : STD_LOGIC; + signal s_ready_i2 : STD_LOGIC; + signal \^ss_aa_awready\ : STD_LOGIC; + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \gen_master_slots[0].w_issuing_cnt[1]_i_2\ : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of \m_axi_awvalid[0]_INST_0\ : label is "soft_lutpair2"; +begin + aa_mi_awtarget_hot(1 downto 0) <= \^aa_mi_awtarget_hot\(1 downto 0); + aa_sa_awvalid <= \^aa_sa_awvalid\; + \gen_master_slots[0].w_issuing_cnt_reg[1]_0\ <= \^gen_master_slots[0].w_issuing_cnt_reg[1]_0\; + \gen_master_slots[2].w_issuing_cnt_reg[16]\ <= \^gen_master_slots[2].w_issuing_cnt_reg[16]\; + match <= \^match\; + ss_aa_awready <= \^ss_aa_awready\; +\gen_axi.s_axi_awready_i_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0800" + ) + port map ( + I0 => mi_awready_2, + I1 => \^aa_mi_awtarget_hot\(1), + I2 => m_ready_d(0), + I3 => \^aa_sa_awvalid\, + O => \^gen_master_slots[2].w_issuing_cnt_reg[16]\ + ); +\gen_master_slots[0].w_issuing_cnt[1]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"D555BFFF2AAA0000" + ) + port map ( + I0 => \^gen_master_slots[0].w_issuing_cnt_reg[1]_0\, + I1 => chosen(0), + I2 => p_80_out, + I3 => s_axi_bready(0), + I4 => w_issuing_cnt(0), + I5 => w_issuing_cnt(1), + O => \gen_master_slots[0].w_issuing_cnt_reg[1]\ + ); +\gen_master_slots[0].w_issuing_cnt[1]_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"4000" + ) + port map ( + I0 => m_ready_d(0), + I1 => \^aa_sa_awvalid\, + I2 => m_axi_awready(0), + I3 => \^aa_mi_awtarget_hot\(0), + O => \^gen_master_slots[0].w_issuing_cnt_reg[1]_0\ + ); +\gen_master_slots[2].w_issuing_cnt[16]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"95552AAA" + ) + port map ( + I0 => \^gen_master_slots[2].w_issuing_cnt_reg[16]\, + I1 => s_axi_bready(0), + I2 => chosen(1), + I3 => p_38_out, + I4 => w_issuing_cnt(2), + O => \gen_master_slots[2].w_issuing_cnt_reg[16]_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_14\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => D(35), + I1 => D(41), + I2 => D(34), + I3 => D(37), + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_14_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_15\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FFFFFFFE" + ) + port map ( + I0 => D(42), + I1 => D(36), + I2 => D(40), + I3 => D(28), + I4 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_16_n_0\, + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_15_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_16\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFEF" + ) + port map ( + I0 => D(30), + I1 => D(38), + I2 => D(43), + I3 => D(32), + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_16_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_7\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000000001" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_14_n_0\, + I1 => D(31), + I2 => D(39), + I3 => D(33), + I4 => D(29), + I5 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_15_n_0\, + O => \^match\ + ); +\gen_no_arbiter.m_mesg_i[11]_i_2\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^aa_sa_awvalid\, + O => s_ready_i2 + ); +\gen_no_arbiter.m_mesg_i_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(0), + Q => Q(0), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(10), + Q => Q(10), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(11), + Q => Q(11), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[12]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(12), + Q => Q(12), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[13]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(13), + Q => Q(13), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[14]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(14), + Q => Q(14), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[15]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(15), + Q => Q(15), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[16]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(16), + Q => Q(16), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[17]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(17), + Q => Q(17), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[18]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(18), + Q => Q(18), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[19]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(19), + Q => Q(19), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(1), + Q => Q(1), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[20]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(20), + Q => Q(20), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[21]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(21), + Q => Q(21), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[22]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(22), + Q => Q(22), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[23]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(23), + Q => Q(23), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[24]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(24), + Q => Q(24), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[25]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(25), + Q => Q(25), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[26]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(26), + Q => Q(26), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[27]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(27), + Q => Q(27), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[28]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(28), + Q => Q(28), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[29]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(29), + Q => Q(29), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(2), + Q => Q(2), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[30]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(30), + Q => Q(30), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[31]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(31), + Q => Q(31), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[32]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(32), + Q => Q(32), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[33]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(33), + Q => Q(33), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[34]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(34), + Q => Q(34), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[35]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(35), + Q => Q(35), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[36]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(36), + Q => Q(36), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[37]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(37), + Q => Q(37), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[38]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(38), + Q => Q(38), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[39]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(39), + Q => Q(39), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(3), + Q => Q(3), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[40]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(40), + Q => Q(40), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[41]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(41), + Q => Q(41), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[42]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(42), + Q => Q(42), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[43]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(43), + Q => Q(43), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[44]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(44), + Q => Q(44), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[45]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(45), + Q => Q(45), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[46]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(46), + Q => Q(46), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[47]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(47), + Q => Q(47), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[48]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(48), + Q => Q(48), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[49]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(49), + Q => Q(49), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(4), + Q => Q(4), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[50]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(50), + Q => Q(50), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[51]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(51), + Q => Q(51), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[52]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(52), + Q => Q(52), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[53]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(53), + Q => Q(53), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[54]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(54), + Q => Q(54), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[55]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(55), + Q => Q(55), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[57]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(56), + Q => Q(56), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[58]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(57), + Q => Q(57), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[59]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(58), + Q => Q(58), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(5), + Q => Q(5), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[64]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(59), + Q => Q(59), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[65]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(60), + Q => Q(60), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[66]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(61), + Q => Q(61), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[67]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(62), + Q => Q(62), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[68]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(63), + Q => Q(63), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[69]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(64), + Q => Q(64), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(6), + Q => Q(6), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[70]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(65), + Q => Q(65), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[71]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(66), + Q => Q(66), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[72]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(67), + Q => Q(67), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[73]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(68), + Q => Q(68), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(7), + Q => Q(7), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[8]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(8), + Q => Q(8), + R => SR(0) + ); +\gen_no_arbiter.m_mesg_i_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_ready_i2, + D => D(9), + Q => Q(9), + R => SR(0) + ); +\gen_no_arbiter.m_target_hot_i[0]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"EFFF2000" + ) + port map ( + I0 => \^match\, + I1 => \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]_0\, + I2 => \gen_multi_thread.gen_thread_loop[7].active_target_reg[57]\, + I3 => aresetn_d, + I4 => \^aa_mi_awtarget_hot\(0), + O => \gen_no_arbiter.m_target_hot_i[0]_i_1_n_0\ + ); +\gen_no_arbiter.m_target_hot_i_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \gen_no_arbiter.m_target_hot_i[0]_i_1_n_0\, + Q => \^aa_mi_awtarget_hot\(0), + R => '0' + ); +\gen_no_arbiter.m_target_hot_i_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => aresetn_d_reg, + Q => \^aa_mi_awtarget_hot\(1), + R => '0' + ); +\gen_no_arbiter.m_valid_i_reg\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \gen_no_arbiter.m_valid_i_reg_0\, + Q => \^aa_sa_awvalid\, + R => SR(0) + ); +\gen_no_arbiter.s_ready_i[0]_i_10\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => D(35), + I1 => D(40), + I2 => D(32), + I3 => D(42), + O => \gen_no_arbiter.s_ready_i[0]_i_10_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_11\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FFFFFEFF" + ) + port map ( + I0 => D(36), + I1 => D(28), + I2 => D(41), + I3 => D(43), + I4 => \gen_no_arbiter.s_ready_i[0]_i_17_n_0\, + O => \gen_no_arbiter.s_ready_i[0]_i_11_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_12\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFEF" + ) + port map ( + I0 => \^ss_aa_awready\, + I1 => m_ready_d_0(0), + I2 => s_axi_awvalid(0), + I3 => \^aa_sa_awvalid\, + O => \gen_no_arbiter.s_ready_i_reg[0]_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_17\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => D(31), + I1 => D(34), + I2 => D(33), + I3 => D(37), + O => \gen_no_arbiter.s_ready_i[0]_i_17_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_5\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000000001" + ) + port map ( + I0 => \gen_no_arbiter.s_ready_i[0]_i_10_n_0\, + I1 => D(38), + I2 => D(39), + I3 => D(30), + I4 => D(29), + I5 => \gen_no_arbiter.s_ready_i[0]_i_11_n_0\, + O => \gen_no_arbiter.m_target_hot_i_reg[2]_0\ + ); +\gen_no_arbiter.s_ready_i_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\, + Q => \^ss_aa_awready\, + R => '0' + ); +\m_axi_awvalid[0]_INST_0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"20" + ) + port map ( + I0 => \^aa_mi_awtarget_hot\(0), + I1 => m_ready_d(0), + I2 => \^aa_sa_awvalid\, + O => m_axi_awvalid(0) + ); +\m_ready_d[1]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FFFFF888" + ) + port map ( + I0 => mi_awready_2, + I1 => \^aa_mi_awtarget_hot\(1), + I2 => \^aa_mi_awtarget_hot\(0), + I3 => m_axi_awready(0), + I4 => m_ready_d(0), + O => \m_ready_d_reg[1]\ + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_xbar_1_axi_crossbar_v2_1_10_arbiter_resp is + port ( + \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]\ : out STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]_0\ : out STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]_1\ : out STD_LOGIC; + SR : out STD_LOGIC_VECTOR ( 0 to 0 ); + E : out STD_LOGIC_VECTOR ( 0 to 0 ); + D : out STD_LOGIC_VECTOR ( 2 downto 0 ); + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0\ : out STD_LOGIC; + \chosen_reg[2]_0\ : out STD_LOGIC; + \chosen_reg[0]_0\ : out STD_LOGIC; + s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + \chosen_reg[1]_0\ : out STD_LOGIC; + S : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_bid : out STD_LOGIC_VECTOR ( 5 downto 0 ); + \gen_no_arbiter.m_valid_i_reg\ : out STD_LOGIC; + active_target : in STD_LOGIC_VECTOR ( 4 downto 0 ); + match : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[11]\ : in STD_LOGIC; + aresetn_d : in STD_LOGIC; + aa_mi_awtarget_hot : in STD_LOGIC_VECTOR ( 0 to 0 ); + CO : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9]\ : in STD_LOGIC; + \s_axi_awaddr[25]\ : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41]\ : in STD_LOGIC; + Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_no_arbiter.s_ready_i_reg[0]_0\ : in STD_LOGIC; + \gen_no_arbiter.s_ready_i_reg[0]_1\ : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_id_reg[93]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1\ : in STD_LOGIC; + cmd_push_7 : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[6].active_id_reg[81]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[49]\ : in STD_LOGIC; + cmd_push_6 : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[5].active_id_reg[69]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + cmd_push_5 : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[4].active_id_reg[57]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33]\ : in STD_LOGIC; + cmd_push_4 : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[3].active_id_reg[45]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25]\ : in STD_LOGIC; + cmd_push_3 : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[2].active_id_reg[33]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17]\ : in STD_LOGIC; + cmd_push_2 : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[1].active_id_reg[21]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + cmd_push_1 : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[0].active_id_reg[9]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]\ : in STD_LOGIC; + cmd_push_0 : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[2].active_target_reg[17]\ : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56]\ : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\ : in STD_LOGIC; + p_38_out : in STD_LOGIC; + p_80_out : in STD_LOGIC; + s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); + p_60_out : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \m_payload_i_reg[3]\ : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + st_mr_bid : in STD_LOGIC_VECTOR ( 17 downto 0 ); + \m_payload_i_reg[5]\ : in STD_LOGIC; + \m_payload_i_reg[7]\ : in STD_LOGIC; + \m_payload_i_reg[8]\ : in STD_LOGIC; + \m_payload_i_reg[10]\ : in STD_LOGIC; + \m_payload_i_reg[11]\ : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[27]\ : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]_0\ : in STD_LOGIC; + w_issuing_cnt : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[4].active_id_reg[57]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + aa_sa_awvalid : in STD_LOGIC; + \m_ready_d_reg[1]\ : in STD_LOGIC; + aclk : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_xbar_1_axi_crossbar_v2_1_10_arbiter_resp : entity is "axi_crossbar_v2_1_10_arbiter_resp"; +end system_design_xbar_1_axi_crossbar_v2_1_10_arbiter_resp; + +architecture STRUCTURE of system_design_xbar_1_axi_crossbar_v2_1_10_arbiter_resp is + signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \chosen[0]_i_1__0_n_0\ : STD_LOGIC; + signal \chosen[1]_i_1__0_n_0\ : STD_LOGIC; + signal \chosen[2]_i_1__0_n_0\ : STD_LOGIC; + signal \^chosen_reg[0]_0\ : STD_LOGIC; + signal \^chosen_reg[1]_0\ : STD_LOGIC; + signal \^chosen_reg[2]_0\ : STD_LOGIC; + signal \^gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0\ : STD_LOGIC; + signal \^gen_no_arbiter.m_target_hot_i_reg[2]\ : STD_LOGIC; + signal \^gen_no_arbiter.m_target_hot_i_reg[2]_1\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_15_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_19_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_3_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_6_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_9_n_0\ : STD_LOGIC; + signal \last_rr_hot[0]_i_1_n_0\ : STD_LOGIC; + signal \last_rr_hot[1]_i_1_n_0\ : STD_LOGIC; + signal \last_rr_hot[2]_i_1_n_0\ : STD_LOGIC; + signal \last_rr_hot[2]_i_6_n_0\ : STD_LOGIC; + signal \last_rr_hot_reg_n_0_[0]\ : STD_LOGIC; + signal need_arbitration : STD_LOGIC; + signal next_rr_hot : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal p_3_in : STD_LOGIC; + signal p_4_in : STD_LOGIC; + signal \s_axi_bid[0]_INST_0_i_1_n_0\ : STD_LOGIC; + signal \s_axi_bid[10]_INST_0_i_1_n_0\ : STD_LOGIC; + signal \s_axi_bid[11]_INST_0_i_1_n_0\ : STD_LOGIC; + signal \s_axi_bid[2]_INST_0_i_1_n_0\ : STD_LOGIC; + signal \s_axi_bid[4]_INST_0_i_1_n_0\ : STD_LOGIC; + signal \s_axi_bid[7]_INST_0_i_1_n_0\ : STD_LOGIC; + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \chosen[0]_i_1__0\ : label is "soft_lutpair90"; + attribute SOFT_HLUTNM of \chosen[1]_i_1__0\ : label is "soft_lutpair91"; + attribute SOFT_HLUTNM of \chosen[2]_i_1__0\ : label is "soft_lutpair90"; + attribute use_clock_enable : string; + attribute use_clock_enable of \chosen_reg[0]\ : label is "yes"; + attribute use_clock_enable of \chosen_reg[1]\ : label is "yes"; + attribute use_clock_enable of \chosen_reg[2]\ : label is "yes"; + attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[1]_i_1\ : label is "soft_lutpair88"; + attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[2]_i_1\ : label is "soft_lutpair88"; + attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_19\ : label is "soft_lutpair89"; + attribute SOFT_HLUTNM of \last_rr_hot[2]_i_6\ : label is "soft_lutpair89"; + attribute SOFT_HLUTNM of \s_axi_bid[11]_INST_0_i_2\ : label is "soft_lutpair91"; +begin + SR(0) <= \^sr\(0); + \chosen_reg[0]_0\ <= \^chosen_reg[0]_0\; + \chosen_reg[1]_0\ <= \^chosen_reg[1]_0\; + \chosen_reg[2]_0\ <= \^chosen_reg[2]_0\; + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0\ <= \^gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0\; + \gen_no_arbiter.m_target_hot_i_reg[2]\ <= \^gen_no_arbiter.m_target_hot_i_reg[2]\; + \gen_no_arbiter.m_target_hot_i_reg[2]_1\ <= \^gen_no_arbiter.m_target_hot_i_reg[2]_1\; +\chosen[0]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => next_rr_hot(0), + I1 => need_arbitration, + I2 => \^chosen_reg[0]_0\, + O => \chosen[0]_i_1__0_n_0\ + ); +\chosen[1]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => next_rr_hot(1), + I1 => need_arbitration, + I2 => \^chosen_reg[1]_0\, + O => \chosen[1]_i_1__0_n_0\ + ); +\chosen[2]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => next_rr_hot(2), + I1 => need_arbitration, + I2 => \^chosen_reg[2]_0\, + O => \chosen[2]_i_1__0_n_0\ + ); +\chosen_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \chosen[0]_i_1__0_n_0\, + Q => \^chosen_reg[0]_0\, + R => \^sr\(0) + ); +\chosen_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \chosen[1]_i_1__0_n_0\, + Q => \^chosen_reg[1]_0\, + R => \^sr\(0) + ); +\chosen_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \chosen[2]_i_1__0_n_0\, + Q => \^chosen_reg[2]_0\, + R => \^sr\(0) + ); +\gen_multi_thread.accept_cnt[1]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"7887" + ) + port map ( + I0 => \gen_no_arbiter.s_ready_i_reg[0]_1\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0\, + I2 => Q(1), + I3 => Q(0), + O => D(0) + ); +\gen_multi_thread.accept_cnt[2]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"7FF88007" + ) + port map ( + I0 => \gen_no_arbiter.s_ready_i_reg[0]_1\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0\, + I2 => Q(0), + I3 => Q(1), + I4 => Q(2), + O => D(1) + ); +\gen_multi_thread.accept_cnt[3]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAAAAAAA55555554" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0\, + I1 => Q(0), + I2 => Q(1), + I3 => Q(2), + I4 => Q(3), + I5 => \gen_no_arbiter.s_ready_i_reg[0]_1\, + O => E(0) + ); +\gen_multi_thread.accept_cnt[3]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"6AAAAAAAAAA9A9A9" + ) + port map ( + I0 => Q(3), + I1 => Q(0), + I2 => Q(1), + I3 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0\, + I4 => \gen_no_arbiter.s_ready_i_reg[0]_1\, + I5 => Q(2), + O => D(2) + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FB04" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[9]\(0), + I2 => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]\, + I3 => cmd_push_0, + O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FB04" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[21]\(0), + I2 => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9]\, + I3 => cmd_push_1, + O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"BF40" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[33]\(0), + I2 => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17]\, + I3 => cmd_push_2, + O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FB04" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[45]\(0), + I2 => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25]\, + I3 => cmd_push_3, + O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"BF40" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[57]\(0), + I2 => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33]\, + I3 => cmd_push_4, + O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FB04" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[69]\(0), + I2 => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41]\, + I3 => cmd_push_5, + O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"BF40" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[81]\(0), + I2 => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[49]\, + I3 => cmd_push_6, + O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FB04" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[93]\(0), + I2 => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1\, + I3 => cmd_push_7, + O => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"80959595FFFFFFFF" + ) + port map ( + I0 => \^gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0\, + I1 => \^chosen_reg[2]_0\, + I2 => p_38_out, + I3 => p_80_out, + I4 => \^chosen_reg[0]_0\, + I5 => s_axi_bready(0), + O => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0\ + ); +\gen_no_arbiter.m_mesg_i[11]_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => aresetn_d, + O => \^sr\(0) + ); +\gen_no_arbiter.m_target_hot_i[2]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"DFFF1000" + ) + port map ( + I0 => match, + I1 => \^gen_no_arbiter.m_target_hot_i_reg[2]_1\, + I2 => \^gen_no_arbiter.m_target_hot_i_reg[2]\, + I3 => aresetn_d, + I4 => aa_mi_awtarget_hot(0), + O => \gen_no_arbiter.m_target_hot_i_reg[2]_0\ + ); +\gen_no_arbiter.m_target_hot_i[2]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FFFF0090" + ) + port map ( + I0 => active_target(0), + I1 => match, + I2 => CO(0), + I3 => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9]\, + I4 => \gen_no_arbiter.s_ready_i[0]_i_3_n_0\, + O => \^gen_no_arbiter.m_target_hot_i_reg[2]_1\ + ); +\gen_no_arbiter.m_valid_i_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"2F22" + ) + port map ( + I0 => aa_sa_awvalid, + I1 => \m_ready_d_reg[1]\, + I2 => \^gen_no_arbiter.m_target_hot_i_reg[2]_1\, + I3 => \^gen_no_arbiter.m_target_hot_i_reg[2]\, + O => \gen_no_arbiter.m_valid_i_reg\ + ); +\gen_no_arbiter.s_ready_i[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"006F000000000000" + ) + port map ( + I0 => active_target(0), + I1 => match, + I2 => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[11]\, + I3 => \gen_no_arbiter.s_ready_i[0]_i_3_n_0\, + I4 => \^gen_no_arbiter.m_target_hot_i_reg[2]\, + I5 => aresetn_d, + O => \gen_no_arbiter.s_ready_i_reg[0]\ + ); +\gen_no_arbiter.s_ready_i[0]_i_15\: unisim.vcomponents.LUT6 + generic map( + INIT => X"70707070FF707070" + ) + port map ( + I0 => \gen_no_arbiter.s_ready_i[0]_i_19_n_0\, + I1 => s_axi_bready(0), + I2 => w_issuing_cnt(0), + I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[57]_0\(0), + I4 => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33]\, + I5 => active_target(2), + O => \gen_no_arbiter.s_ready_i[0]_i_15_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_19\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => \^chosen_reg[2]_0\, + I1 => p_38_out, + O => \gen_no_arbiter.s_ready_i[0]_i_19_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FFFF0090" + ) + port map ( + I0 => active_target(3), + I1 => \s_axi_awaddr[25]\, + I2 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\(0), + I3 => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41]\, + I4 => \gen_no_arbiter.s_ready_i[0]_i_6_n_0\, + O => \gen_no_arbiter.s_ready_i[0]_i_3_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_4\: unisim.vcomponents.LUT6 + generic map( + INIT => X"2A002A002AFF2A00" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[2].active_target_reg[17]\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56]\, + I2 => active_target(4), + I3 => \s_axi_awaddr[25]\, + I4 => \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\, + I5 => \gen_no_arbiter.s_ready_i[0]_i_9_n_0\, + O => \^gen_no_arbiter.m_target_hot_i_reg[2]\ + ); +\gen_no_arbiter.s_ready_i[0]_i_6\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFFFFF01000000" + ) + port map ( + I0 => Q(1), + I1 => Q(0), + I2 => Q(2), + I3 => Q(3), + I4 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3_n_0\, + I5 => \gen_no_arbiter.s_ready_i_reg[0]_0\, + O => \gen_no_arbiter.s_ready_i[0]_i_6_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_9\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFFFFFFFFF22F2" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[27]\, + I1 => active_target(1), + I2 => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56]\, + I3 => active_target(4), + I4 => \gen_no_arbiter.s_ready_i[0]_i_15_n_0\, + I5 => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]_0\, + O => \gen_no_arbiter.s_ready_i[0]_i_9_n_0\ + ); +\last_rr_hot[0]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"DDDF8888" + ) + port map ( + I0 => need_arbitration, + I1 => next_rr_hot(0), + I2 => next_rr_hot(2), + I3 => next_rr_hot(1), + I4 => \last_rr_hot_reg_n_0_[0]\, + O => \last_rr_hot[0]_i_1_n_0\ + ); +\last_rr_hot[1]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FF57AA00" + ) + port map ( + I0 => need_arbitration, + I1 => next_rr_hot(0), + I2 => next_rr_hot(2), + I3 => next_rr_hot(1), + I4 => p_3_in, + O => \last_rr_hot[1]_i_1_n_0\ + ); +\last_rr_hot[2]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"F5F7A0A0" + ) + port map ( + I0 => need_arbitration, + I1 => next_rr_hot(0), + I2 => next_rr_hot(2), + I3 => next_rr_hot(1), + I4 => p_4_in, + O => \last_rr_hot[2]_i_1_n_0\ + ); +\last_rr_hot[2]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"ABBBABBBABBBA8B8" + ) + port map ( + I0 => s_axi_bready(0), + I1 => \last_rr_hot[2]_i_6_n_0\, + I2 => p_80_out, + I3 => \^chosen_reg[0]_0\, + I4 => p_60_out, + I5 => p_38_out, + O => need_arbitration + ); +\last_rr_hot[2]_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"8A888A8A8A888A88" + ) + port map ( + I0 => p_80_out, + I1 => p_4_in, + I2 => p_38_out, + I3 => p_3_in, + I4 => p_60_out, + I5 => \last_rr_hot_reg_n_0_[0]\, + O => next_rr_hot(0) + ); +\last_rr_hot[2]_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAAAAAAA22220020" + ) + port map ( + I0 => p_38_out, + I1 => p_60_out, + I2 => p_4_in, + I3 => p_80_out, + I4 => \last_rr_hot_reg_n_0_[0]\, + I5 => p_3_in, + O => next_rr_hot(2) + ); +\last_rr_hot[2]_i_5__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAAAAAAA00AA0008" + ) + port map ( + I0 => p_60_out, + I1 => p_3_in, + I2 => p_38_out, + I3 => p_80_out, + I4 => p_4_in, + I5 => \last_rr_hot_reg_n_0_[0]\, + O => next_rr_hot(1) + ); +\last_rr_hot[2]_i_6\: unisim.vcomponents.LUT4 + generic map( + INIT => X"F888" + ) + port map ( + I0 => p_38_out, + I1 => \^chosen_reg[2]_0\, + I2 => p_60_out, + I3 => \^chosen_reg[1]_0\, + O => \last_rr_hot[2]_i_6_n_0\ + ); +\last_rr_hot_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \last_rr_hot[0]_i_1_n_0\, + Q => \last_rr_hot_reg_n_0_[0]\, + R => \^sr\(0) + ); +\last_rr_hot_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \last_rr_hot[1]_i_1_n_0\, + Q => p_3_in, + R => \^sr\(0) + ); +\last_rr_hot_reg[2]\: unisim.vcomponents.FDSE + port map ( + C => aclk, + CE => '1', + D => \last_rr_hot[2]_i_1_n_0\, + Q => p_4_in, + S => \^sr\(0) + ); +\p_0_out_inferred__9_carry_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[11]\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(9), + I2 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(11), + I3 => \s_axi_bid[11]_INST_0_i_1_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(10), + I5 => \s_axi_bid[10]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(3) + ); +\p_0_out_inferred__9_carry_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[8]\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(6), + I2 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(8), + I3 => \m_payload_i_reg[10]\, + I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(7), + I5 => \s_axi_bid[7]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(2) + ); +\p_0_out_inferred__9_carry_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[5]\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(3), + I2 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(5), + I3 => \m_payload_i_reg[7]\, + I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(4), + I5 => \s_axi_bid[4]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(1) + ); +\p_0_out_inferred__9_carry_i_4\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \s_axi_bid[0]_INST_0_i_1_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(0), + I2 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(2), + I3 => \s_axi_bid[2]_INST_0_i_1_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(1), + I5 => \m_payload_i_reg[3]\, + O => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(0) + ); +p_10_out_carry_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[11]\, + I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(9), + I2 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(11), + I3 => \s_axi_bid[11]_INST_0_i_1_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(10), + I5 => \s_axi_bid[10]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(3) + ); +p_10_out_carry_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[8]\, + I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(6), + I2 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(8), + I3 => \m_payload_i_reg[10]\, + I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(7), + I5 => \s_axi_bid[7]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(2) + ); +p_10_out_carry_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[5]\, + I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(3), + I2 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(5), + I3 => \m_payload_i_reg[7]\, + I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(4), + I5 => \s_axi_bid[4]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(1) + ); +p_10_out_carry_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \s_axi_bid[0]_INST_0_i_1_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(0), + I2 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(2), + I3 => \s_axi_bid[2]_INST_0_i_1_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(1), + I5 => \m_payload_i_reg[3]\, + O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(0) + ); +p_12_out_carry_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[11]\, + I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(9), + I2 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(11), + I3 => \s_axi_bid[11]_INST_0_i_1_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(10), + I5 => \s_axi_bid[10]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(3) + ); +p_12_out_carry_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[8]\, + I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(6), + I2 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(8), + I3 => \m_payload_i_reg[10]\, + I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(7), + I5 => \s_axi_bid[7]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(2) + ); +p_12_out_carry_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[5]\, + I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(3), + I2 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(5), + I3 => \m_payload_i_reg[7]\, + I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(4), + I5 => \s_axi_bid[4]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(1) + ); +p_12_out_carry_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \s_axi_bid[0]_INST_0_i_1_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(0), + I2 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(2), + I3 => \s_axi_bid[2]_INST_0_i_1_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(1), + I5 => \m_payload_i_reg[3]\, + O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(0) + ); +p_14_out_carry_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[11]\, + I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(9), + I2 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(11), + I3 => \s_axi_bid[11]_INST_0_i_1_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(10), + I5 => \s_axi_bid[10]_INST_0_i_1_n_0\, + O => S(3) + ); +p_14_out_carry_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[8]\, + I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(6), + I2 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(8), + I3 => \m_payload_i_reg[10]\, + I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(7), + I5 => \s_axi_bid[7]_INST_0_i_1_n_0\, + O => S(2) + ); +p_14_out_carry_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[5]\, + I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(3), + I2 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(5), + I3 => \m_payload_i_reg[7]\, + I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(4), + I5 => \s_axi_bid[4]_INST_0_i_1_n_0\, + O => S(1) + ); +p_14_out_carry_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \s_axi_bid[0]_INST_0_i_1_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(0), + I2 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(2), + I3 => \s_axi_bid[2]_INST_0_i_1_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(1), + I5 => \m_payload_i_reg[3]\, + O => S(0) + ); +p_2_out_carry_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[11]\, + I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(9), + I2 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(11), + I3 => \s_axi_bid[11]_INST_0_i_1_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(10), + I5 => \s_axi_bid[10]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(3) + ); +p_2_out_carry_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[8]\, + I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(6), + I2 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(8), + I3 => \m_payload_i_reg[10]\, + I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(7), + I5 => \s_axi_bid[7]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(2) + ); +p_2_out_carry_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[5]\, + I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(3), + I2 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(5), + I3 => \m_payload_i_reg[7]\, + I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(4), + I5 => \s_axi_bid[4]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(1) + ); +p_2_out_carry_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \s_axi_bid[0]_INST_0_i_1_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(0), + I2 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(2), + I3 => \s_axi_bid[2]_INST_0_i_1_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(1), + I5 => \m_payload_i_reg[3]\, + O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(0) + ); +p_4_out_carry_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[11]\, + I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(9), + I2 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(11), + I3 => \s_axi_bid[11]_INST_0_i_1_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(10), + I5 => \s_axi_bid[10]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(3) + ); +p_4_out_carry_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[8]\, + I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(6), + I2 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(8), + I3 => \m_payload_i_reg[10]\, + I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(7), + I5 => \s_axi_bid[7]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(2) + ); +p_4_out_carry_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[5]\, + I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(3), + I2 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(5), + I3 => \m_payload_i_reg[7]\, + I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(4), + I5 => \s_axi_bid[4]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(1) + ); +p_4_out_carry_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \s_axi_bid[0]_INST_0_i_1_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(0), + I2 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(2), + I3 => \s_axi_bid[2]_INST_0_i_1_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(1), + I5 => \m_payload_i_reg[3]\, + O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(0) + ); +p_6_out_carry_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[11]\, + I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(9), + I2 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(11), + I3 => \s_axi_bid[11]_INST_0_i_1_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(10), + I5 => \s_axi_bid[10]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(3) + ); +p_6_out_carry_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[8]\, + I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(6), + I2 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(8), + I3 => \m_payload_i_reg[10]\, + I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(7), + I5 => \s_axi_bid[7]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(2) + ); +p_6_out_carry_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[5]\, + I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(3), + I2 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(5), + I3 => \m_payload_i_reg[7]\, + I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(4), + I5 => \s_axi_bid[4]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(1) + ); +p_6_out_carry_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \s_axi_bid[0]_INST_0_i_1_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(0), + I2 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(2), + I3 => \s_axi_bid[2]_INST_0_i_1_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(1), + I5 => \m_payload_i_reg[3]\, + O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(0) + ); +p_8_out_carry_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[11]\, + I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(9), + I2 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(11), + I3 => \s_axi_bid[11]_INST_0_i_1_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(10), + I5 => \s_axi_bid[10]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(3) + ); +p_8_out_carry_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[8]\, + I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(6), + I2 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(8), + I3 => \m_payload_i_reg[10]\, + I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(7), + I5 => \s_axi_bid[7]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(2) + ); +p_8_out_carry_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \m_payload_i_reg[5]\, + I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(3), + I2 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(5), + I3 => \m_payload_i_reg[7]\, + I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(4), + I5 => \s_axi_bid[4]_INST_0_i_1_n_0\, + O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(1) + ); +p_8_out_carry_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \s_axi_bid[0]_INST_0_i_1_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(0), + I2 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(2), + I3 => \s_axi_bid[2]_INST_0_i_1_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(1), + I5 => \m_payload_i_reg[3]\, + O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(0) + ); +\s_axi_bid[0]_INST_0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \s_axi_bid[0]_INST_0_i_1_n_0\, + O => s_axi_bid(0) + ); +\s_axi_bid[0]_INST_0_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"F0353535FF353535" + ) + port map ( + I0 => st_mr_bid(0), + I1 => st_mr_bid(6), + I2 => \^gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0\, + I3 => \^chosen_reg[2]_0\, + I4 => p_38_out, + I5 => st_mr_bid(12), + O => \s_axi_bid[0]_INST_0_i_1_n_0\ + ); +\s_axi_bid[10]_INST_0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \s_axi_bid[10]_INST_0_i_1_n_0\, + O => s_axi_bid(4) + ); +\s_axi_bid[10]_INST_0_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"F0353535FF353535" + ) + port map ( + I0 => st_mr_bid(4), + I1 => st_mr_bid(10), + I2 => \^gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0\, + I3 => \^chosen_reg[2]_0\, + I4 => p_38_out, + I5 => st_mr_bid(16), + O => \s_axi_bid[10]_INST_0_i_1_n_0\ + ); +\s_axi_bid[11]_INST_0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \s_axi_bid[11]_INST_0_i_1_n_0\, + O => s_axi_bid(5) + ); +\s_axi_bid[11]_INST_0_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"F0535353FF535353" + ) + port map ( + I0 => st_mr_bid(11), + I1 => st_mr_bid(5), + I2 => \^gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0\, + I3 => \^chosen_reg[2]_0\, + I4 => p_38_out, + I5 => st_mr_bid(17), + O => \s_axi_bid[11]_INST_0_i_1_n_0\ + ); +\s_axi_bid[11]_INST_0_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => \^chosen_reg[1]_0\, + I1 => p_60_out, + O => \^gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0\ + ); +\s_axi_bid[2]_INST_0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \s_axi_bid[2]_INST_0_i_1_n_0\, + O => s_axi_bid(1) + ); +\s_axi_bid[2]_INST_0_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"F0353535FF353535" + ) + port map ( + I0 => st_mr_bid(1), + I1 => st_mr_bid(7), + I2 => \^gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0\, + I3 => \^chosen_reg[2]_0\, + I4 => p_38_out, + I5 => st_mr_bid(13), + O => \s_axi_bid[2]_INST_0_i_1_n_0\ + ); +\s_axi_bid[4]_INST_0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \s_axi_bid[4]_INST_0_i_1_n_0\, + O => s_axi_bid(2) + ); +\s_axi_bid[4]_INST_0_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"F0353535FF353535" + ) + port map ( + I0 => st_mr_bid(2), + I1 => st_mr_bid(8), + I2 => \^gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0\, + I3 => \^chosen_reg[2]_0\, + I4 => p_38_out, + I5 => st_mr_bid(14), + O => \s_axi_bid[4]_INST_0_i_1_n_0\ + ); +\s_axi_bid[7]_INST_0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \s_axi_bid[7]_INST_0_i_1_n_0\, + O => s_axi_bid(3) + ); +\s_axi_bid[7]_INST_0_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"F0535353FF535353" + ) + port map ( + I0 => st_mr_bid(9), + I1 => st_mr_bid(3), + I2 => \^gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0\, + I3 => \^chosen_reg[2]_0\, + I4 => p_38_out, + I5 => st_mr_bid(15), + O => \s_axi_bid[7]_INST_0_i_1_n_0\ + ); +\s_axi_bvalid[0]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFF888F888F888" + ) + port map ( + I0 => \^chosen_reg[1]_0\, + I1 => p_60_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_38_out, + I4 => p_80_out, + I5 => \^chosen_reg[0]_0\, + O => s_axi_bvalid(0) + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_xbar_1_axi_crossbar_v2_1_10_arbiter_resp_4 is + port ( + \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; + m_valid_i : out STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]\ : out STD_LOGIC; + D : out STD_LOGIC_VECTOR ( 2 downto 0 ); + E : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); + \chosen_reg[0]_0\ : out STD_LOGIC; + s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + \chosen_reg[2]_0\ : out STD_LOGIC; + \chosen_reg[1]_0\ : out STD_LOGIC; + s_axi_rresp : out STD_LOGIC_VECTOR ( 0 to 0 ); + S : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 19 downto 0 ); + aresetn_d : in STD_LOGIC; + match : in STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\ : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[0].active_target_reg[1]\ : in STD_LOGIC; + \gen_master_slots[2].r_issuing_cnt_reg[16]\ : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[4].active_target_reg[33]\ : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\ : in STD_LOGIC; + \gen_multi_thread.accept_cnt_reg[1]\ : in STD_LOGIC; + aa_mi_arvalid : in STD_LOGIC; + S_AXI_ARREADY : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]\ : in STD_LOGIC; + CO : in STD_LOGIC_VECTOR ( 0 to 0 ); + cmd_push_0 : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_1\ : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[1].active_id_reg[21]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + cmd_push_1 : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[2].active_id_reg[33]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17]\ : in STD_LOGIC; + cmd_push_2 : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[3].active_id_reg[45]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25]\ : in STD_LOGIC; + cmd_push_3 : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[4].active_id_reg[57]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33]\ : in STD_LOGIC; + cmd_push_4 : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41]\ : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[5].active_id_reg[69]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + cmd_push_5 : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_1\ : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[6].active_id_reg[81]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + cmd_push_6 : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1\ : in STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_id_reg[93]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + cmd_push_7 : in STD_LOGIC; + p_74_out : in STD_LOGIC; + s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); + p_32_out : in STD_LOGIC; + p_54_out : in STD_LOGIC; + st_mr_rmesg : in STD_LOGIC_VECTOR ( 41 downto 0 ); + \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + st_mr_rid : in STD_LOGIC_VECTOR ( 35 downto 0 ); + \m_payload_i_reg[34]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \m_payload_i_reg[34]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \m_payload_i_reg[34]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + SR : in STD_LOGIC_VECTOR ( 0 to 0 ); + aclk : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_xbar_1_axi_crossbar_v2_1_10_arbiter_resp_4 : entity is "axi_crossbar_v2_1_10_arbiter_resp"; +end system_design_xbar_1_axi_crossbar_v2_1_10_arbiter_resp_4; + +architecture STRUCTURE of system_design_xbar_1_axi_crossbar_v2_1_10_arbiter_resp_4 is + signal \chosen[0]_i_1_n_0\ : STD_LOGIC; + signal \chosen[1]_i_1_n_0\ : STD_LOGIC; + signal \chosen[2]_i_1_n_0\ : STD_LOGIC; + signal \^chosen_reg[0]_0\ : STD_LOGIC; + signal \^chosen_reg[1]_0\ : STD_LOGIC; + signal \^chosen_reg[2]_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_7__0_n_0\ : STD_LOGIC; + signal \last_rr_hot[0]_i_1__0_n_0\ : STD_LOGIC; + signal \last_rr_hot[1]_i_1__0_n_0\ : STD_LOGIC; + signal \last_rr_hot[2]_i_1__0_n_0\ : STD_LOGIC; + signal \last_rr_hot_reg_n_0_[0]\ : STD_LOGIC; + signal \^m_valid_i\ : STD_LOGIC; + signal need_arbitration : STD_LOGIC; + signal next_rr_hot : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \p_0_out_inferred__9_carry_i_10_n_0\ : STD_LOGIC; + signal \p_0_out_inferred__9_carry_i_11_n_0\ : STD_LOGIC; + signal \p_0_out_inferred__9_carry_i_12_n_0\ : STD_LOGIC; + signal \p_0_out_inferred__9_carry_i_13_n_0\ : STD_LOGIC; + signal \p_0_out_inferred__9_carry_i_14_n_0\ : STD_LOGIC; + signal \p_0_out_inferred__9_carry_i_15_n_0\ : STD_LOGIC; + signal \p_0_out_inferred__9_carry_i_16_n_0\ : STD_LOGIC; + signal \p_0_out_inferred__9_carry_i_5_n_0\ : STD_LOGIC; + signal \p_0_out_inferred__9_carry_i_6_n_0\ : STD_LOGIC; + signal \p_0_out_inferred__9_carry_i_7_n_0\ : STD_LOGIC; + signal \p_0_out_inferred__9_carry_i_8_n_0\ : STD_LOGIC; + signal \p_0_out_inferred__9_carry_i_9_n_0\ : STD_LOGIC; + signal p_3_in : STD_LOGIC; + signal p_4_in : STD_LOGIC; + signal \s_axi_rid[11]_INST_0_i_1_n_0\ : STD_LOGIC; + signal \s_axi_rid[11]_INST_0_i_2_n_0\ : STD_LOGIC; + signal \s_axi_rid[11]_INST_0_i_3_n_0\ : STD_LOGIC; + signal \^s_axi_rlast\ : STD_LOGIC_VECTOR ( 0 to 0 ); + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \chosen[0]_i_1\ : label is "soft_lutpair62"; + attribute SOFT_HLUTNM of \chosen[2]_i_1\ : label is "soft_lutpair62"; + attribute use_clock_enable : string; + attribute use_clock_enable of \chosen_reg[0]\ : label is "yes"; + attribute use_clock_enable of \chosen_reg[1]\ : label is "yes"; + attribute use_clock_enable of \chosen_reg[2]\ : label is "yes"; + attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[1]_i_1__0\ : label is "soft_lutpair59"; + attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[2]_i_1__0\ : label is "soft_lutpair59"; + attribute SOFT_HLUTNM of \gen_no_arbiter.m_target_hot_i[2]_i_1__0\ : label is "soft_lutpair60"; + attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_1__0\ : label is "soft_lutpair60"; + attribute SOFT_HLUTNM of \s_axi_rid[11]_INST_0_i_2\ : label is "soft_lutpair61"; + attribute SOFT_HLUTNM of \s_axi_rid[11]_INST_0_i_3\ : label is "soft_lutpair61"; +begin + \chosen_reg[0]_0\ <= \^chosen_reg[0]_0\; + \chosen_reg[1]_0\ <= \^chosen_reg[1]_0\; + \chosen_reg[2]_0\ <= \^chosen_reg[2]_0\; + m_valid_i <= \^m_valid_i\; + s_axi_rlast(0) <= \^s_axi_rlast\(0); +\chosen[0]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => next_rr_hot(0), + I1 => need_arbitration, + I2 => \^chosen_reg[0]_0\, + O => \chosen[0]_i_1_n_0\ + ); +\chosen[1]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => next_rr_hot(1), + I1 => need_arbitration, + I2 => \^chosen_reg[1]_0\, + O => \chosen[1]_i_1_n_0\ + ); +\chosen[2]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => next_rr_hot(2), + I1 => need_arbitration, + I2 => \^chosen_reg[2]_0\, + O => \chosen[2]_i_1_n_0\ + ); +\chosen_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \chosen[0]_i_1_n_0\, + Q => \^chosen_reg[0]_0\, + R => SR(0) + ); +\chosen_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \chosen[1]_i_1_n_0\, + Q => \^chosen_reg[1]_0\, + R => SR(0) + ); +\chosen_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \chosen[2]_i_1_n_0\, + Q => \^chosen_reg[2]_0\, + R => SR(0) + ); +\gen_multi_thread.accept_cnt[1]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => S_AXI_ARREADY(0), + I1 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0\, + I2 => Q(1), + I3 => Q(0), + O => D(0) + ); +\gen_multi_thread.accept_cnt[2]_i_1__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"DFBA2045" + ) + port map ( + I0 => Q(0), + I1 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0\, + I2 => S_AXI_ARREADY(0), + I3 => Q(1), + I4 => Q(2), + O => D(1) + ); +\gen_multi_thread.accept_cnt[3]_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000FFFFFFFE0000" + ) + port map ( + I0 => Q(2), + I1 => Q(3), + I2 => Q(0), + I3 => Q(1), + I4 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0\, + I5 => S_AXI_ARREADY(0), + O => E(0) + ); +\gen_multi_thread.accept_cnt[3]_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"A6AAAAAAAAAA9A99" + ) + port map ( + I0 => Q(3), + I1 => Q(2), + I2 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0\, + I3 => S_AXI_ARREADY(0), + I4 => Q(0), + I5 => Q(1), + O => D(2) + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"BF40" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]\, + I1 => CO(0), + I2 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0\, + I3 => cmd_push_0, + O => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"BF40" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_1\, + I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[21]\(0), + I2 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0\, + I3 => cmd_push_1, + O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"7F80" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[33]\(0), + I1 => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17]\, + I2 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0\, + I3 => cmd_push_2, + O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"7F80" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[45]\(0), + I1 => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25]\, + I2 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0\, + I3 => cmd_push_3, + O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"7F80" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[57]\(0), + I1 => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33]\, + I2 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0\, + I3 => cmd_push_4, + O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"BF40" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41]\, + I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[69]\(0), + I2 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0\, + I3 => cmd_push_5, + O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"BF40" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_1\, + I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[81]\(0), + I2 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0\, + I3 => cmd_push_6, + O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"BF40" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[93]\(0), + I2 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0\, + I3 => cmd_push_7, + O => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"A8880000" + ) + port map ( + I0 => \^s_axi_rlast\(0), + I1 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I2 => p_74_out, + I3 => \^chosen_reg[0]_0\, + I4 => s_axi_rready(0), + O => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0\ + ); +\gen_no_arbiter.m_target_hot_i[2]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"7F40" + ) + port map ( + I0 => match, + I1 => \^m_valid_i\, + I2 => aresetn_d, + I3 => \gen_no_arbiter.m_target_hot_i_reg[2]_0\(0), + O => \gen_no_arbiter.m_target_hot_i_reg[2]\ + ); +\gen_no_arbiter.s_ready_i[0]_i_1__0\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => \^m_valid_i\, + I1 => aresetn_d, + O => \gen_no_arbiter.s_ready_i_reg[0]\ + ); +\gen_no_arbiter.s_ready_i[0]_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00000000000022F2" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\, + I1 => \gen_multi_thread.gen_thread_loop[0].active_target_reg[1]\, + I2 => \gen_master_slots[2].r_issuing_cnt_reg[16]\, + I3 => \gen_multi_thread.gen_thread_loop[4].active_target_reg[33]\, + I4 => \gen_no_arbiter.s_ready_i[0]_i_7__0_n_0\, + I5 => \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\, + O => \^m_valid_i\ + ); +\gen_no_arbiter.s_ready_i[0]_i_7__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FFF1FFFF" + ) + port map ( + I0 => \gen_multi_thread.accept_cnt_reg[1]\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_3__0_n_0\, + I2 => aa_mi_arvalid, + I3 => S_AXI_ARREADY(0), + I4 => s_axi_arvalid(0), + O => \gen_no_arbiter.s_ready_i[0]_i_7__0_n_0\ + ); +\last_rr_hot[0]_i_1__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"F5F7A0A0" + ) + port map ( + I0 => need_arbitration, + I1 => next_rr_hot(1), + I2 => next_rr_hot(0), + I3 => next_rr_hot(2), + I4 => \last_rr_hot_reg_n_0_[0]\, + O => \last_rr_hot[0]_i_1__0_n_0\ + ); +\last_rr_hot[1]_i_1__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"DDDF8888" + ) + port map ( + I0 => need_arbitration, + I1 => next_rr_hot(1), + I2 => next_rr_hot(0), + I3 => next_rr_hot(2), + I4 => p_3_in, + O => \last_rr_hot[1]_i_1__0_n_0\ + ); +\last_rr_hot[2]_i_1__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FF57AA00" + ) + port map ( + I0 => need_arbitration, + I1 => next_rr_hot(1), + I2 => next_rr_hot(0), + I3 => next_rr_hot(2), + I4 => p_4_in, + O => \last_rr_hot[2]_i_1__0_n_0\ + ); +\last_rr_hot[2]_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"ABBBABBBABBBA8B8" + ) + port map ( + I0 => s_axi_rready(0), + I1 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I2 => p_74_out, + I3 => \^chosen_reg[0]_0\, + I4 => p_32_out, + I5 => p_54_out, + O => need_arbitration + ); +\last_rr_hot[2]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"8A888A8A8A888A88" + ) + port map ( + I0 => p_54_out, + I1 => \last_rr_hot_reg_n_0_[0]\, + I2 => p_74_out, + I3 => p_4_in, + I4 => p_32_out, + I5 => p_3_in, + O => next_rr_hot(1) + ); +\last_rr_hot[2]_i_4\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAAAAAAA22220020" + ) + port map ( + I0 => p_74_out, + I1 => p_32_out, + I2 => \last_rr_hot_reg_n_0_[0]\, + I3 => p_54_out, + I4 => p_3_in, + I5 => p_4_in, + O => next_rr_hot(0) + ); +\last_rr_hot[2]_i_5\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAAAAAAA00AA0008" + ) + port map ( + I0 => p_32_out, + I1 => p_4_in, + I2 => p_74_out, + I3 => p_54_out, + I4 => \last_rr_hot_reg_n_0_[0]\, + I5 => p_3_in, + O => next_rr_hot(2) + ); +\last_rr_hot_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \last_rr_hot[0]_i_1__0_n_0\, + Q => \last_rr_hot_reg_n_0_[0]\, + R => SR(0) + ); +\last_rr_hot_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \last_rr_hot[1]_i_1__0_n_0\, + Q => p_3_in, + R => SR(0) + ); +\last_rr_hot_reg[2]\: unisim.vcomponents.FDSE + port map ( + C => aclk, + CE => '1', + D => \last_rr_hot[2]_i_1__0_n_0\, + Q => p_4_in, + S => SR(0) + ); +\p_0_out_inferred__9_carry_i_10\: unisim.vcomponents.LUT6 + generic map( + INIT => X"BB0BBB0B0000BB0B" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_3_n_0\, + I1 => st_mr_rid(31), + I2 => st_mr_rid(7), + I3 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I4 => st_mr_rid(19), + I5 => \s_axi_rid[11]_INST_0_i_1_n_0\, + O => \p_0_out_inferred__9_carry_i_10_n_0\ + ); +\p_0_out_inferred__9_carry_i_11\: unisim.vcomponents.LUT6 + generic map( + INIT => X"BB0BBB0B0000BB0B" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_1_n_0\, + I1 => st_mr_rid(15), + I2 => st_mr_rid(27), + I3 => \s_axi_rid[11]_INST_0_i_3_n_0\, + I4 => st_mr_rid(3), + I5 => \s_axi_rid[11]_INST_0_i_2_n_0\, + O => \p_0_out_inferred__9_carry_i_11_n_0\ + ); +\p_0_out_inferred__9_carry_i_12\: unisim.vcomponents.LUT6 + generic map( + INIT => X"BB0BBB0B0000BB0B" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_1_n_0\, + I1 => st_mr_rid(17), + I2 => st_mr_rid(29), + I3 => \s_axi_rid[11]_INST_0_i_3_n_0\, + I4 => st_mr_rid(5), + I5 => \s_axi_rid[11]_INST_0_i_2_n_0\, + O => \p_0_out_inferred__9_carry_i_12_n_0\ + ); +\p_0_out_inferred__9_carry_i_13\: unisim.vcomponents.LUT6 + generic map( + INIT => X"BB0BBB0B0000BB0B" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_1_n_0\, + I1 => st_mr_rid(16), + I2 => st_mr_rid(4), + I3 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I4 => st_mr_rid(28), + I5 => \s_axi_rid[11]_INST_0_i_3_n_0\, + O => \p_0_out_inferred__9_carry_i_13_n_0\ + ); +\p_0_out_inferred__9_carry_i_14\: unisim.vcomponents.LUT6 + generic map( + INIT => X"BB0BBB0B0000BB0B" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_1_n_0\, + I1 => st_mr_rid(12), + I2 => st_mr_rid(0), + I3 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I4 => st_mr_rid(24), + I5 => \s_axi_rid[11]_INST_0_i_3_n_0\, + O => \p_0_out_inferred__9_carry_i_14_n_0\ + ); +\p_0_out_inferred__9_carry_i_15\: unisim.vcomponents.LUT6 + generic map( + INIT => X"BB0BBB0B0000BB0B" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_3_n_0\, + I1 => st_mr_rid(26), + I2 => st_mr_rid(2), + I3 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I4 => st_mr_rid(14), + I5 => \s_axi_rid[11]_INST_0_i_1_n_0\, + O => \p_0_out_inferred__9_carry_i_15_n_0\ + ); +\p_0_out_inferred__9_carry_i_16\: unisim.vcomponents.LUT6 + generic map( + INIT => X"BB0BBB0B0000BB0B" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_1_n_0\, + I1 => st_mr_rid(13), + I2 => st_mr_rid(25), + I3 => \s_axi_rid[11]_INST_0_i_3_n_0\, + I4 => st_mr_rid(1), + I5 => \s_axi_rid[11]_INST_0_i_2_n_0\, + O => \p_0_out_inferred__9_carry_i_16_n_0\ + ); +\p_0_out_inferred__9_carry_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_5_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(9), + I2 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(11), + I3 => \p_0_out_inferred__9_carry_i_6_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(10), + I5 => \p_0_out_inferred__9_carry_i_7_n_0\, + O => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(3) + ); +\p_0_out_inferred__9_carry_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_8_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(6), + I2 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(8), + I3 => \p_0_out_inferred__9_carry_i_9_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(7), + I5 => \p_0_out_inferred__9_carry_i_10_n_0\, + O => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(2) + ); +\p_0_out_inferred__9_carry_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_11_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(3), + I2 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(5), + I3 => \p_0_out_inferred__9_carry_i_12_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(4), + I5 => \p_0_out_inferred__9_carry_i_13_n_0\, + O => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(1) + ); +\p_0_out_inferred__9_carry_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_14_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(0), + I2 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(2), + I3 => \p_0_out_inferred__9_carry_i_15_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(1), + I5 => \p_0_out_inferred__9_carry_i_16_n_0\, + O => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(0) + ); +\p_0_out_inferred__9_carry_i_5\: unisim.vcomponents.LUT6 + generic map( + INIT => X"BB0BBB0B0000BB0B" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I1 => st_mr_rid(9), + I2 => st_mr_rid(21), + I3 => \s_axi_rid[11]_INST_0_i_1_n_0\, + I4 => st_mr_rid(33), + I5 => \s_axi_rid[11]_INST_0_i_3_n_0\, + O => \p_0_out_inferred__9_carry_i_5_n_0\ + ); +\p_0_out_inferred__9_carry_i_6\: unisim.vcomponents.LUT6 + generic map( + INIT => X"BB0BBB0B0000BB0B" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_3_n_0\, + I1 => st_mr_rid(35), + I2 => st_mr_rid(11), + I3 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I4 => st_mr_rid(23), + I5 => \s_axi_rid[11]_INST_0_i_1_n_0\, + O => \p_0_out_inferred__9_carry_i_6_n_0\ + ); +\p_0_out_inferred__9_carry_i_7\: unisim.vcomponents.LUT6 + generic map( + INIT => X"BB0BBB0B0000BB0B" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I1 => st_mr_rid(10), + I2 => st_mr_rid(22), + I3 => \s_axi_rid[11]_INST_0_i_1_n_0\, + I4 => st_mr_rid(34), + I5 => \s_axi_rid[11]_INST_0_i_3_n_0\, + O => \p_0_out_inferred__9_carry_i_7_n_0\ + ); +\p_0_out_inferred__9_carry_i_8\: unisim.vcomponents.LUT6 + generic map( + INIT => X"BB0BBB0B0000BB0B" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_3_n_0\, + I1 => st_mr_rid(30), + I2 => st_mr_rid(6), + I3 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I4 => st_mr_rid(18), + I5 => \s_axi_rid[11]_INST_0_i_1_n_0\, + O => \p_0_out_inferred__9_carry_i_8_n_0\ + ); +\p_0_out_inferred__9_carry_i_9\: unisim.vcomponents.LUT6 + generic map( + INIT => X"BB0BBB0B0000BB0B" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_1_n_0\, + I1 => st_mr_rid(20), + I2 => st_mr_rid(8), + I3 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I4 => st_mr_rid(32), + I5 => \s_axi_rid[11]_INST_0_i_3_n_0\, + O => \p_0_out_inferred__9_carry_i_9_n_0\ + ); +\p_10_out_carry_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_5_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(9), + I2 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(11), + I3 => \p_0_out_inferred__9_carry_i_6_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(10), + I5 => \p_0_out_inferred__9_carry_i_7_n_0\, + O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(3) + ); +\p_10_out_carry_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_8_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(6), + I2 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(8), + I3 => \p_0_out_inferred__9_carry_i_9_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(7), + I5 => \p_0_out_inferred__9_carry_i_10_n_0\, + O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(2) + ); +\p_10_out_carry_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_11_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(3), + I2 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(5), + I3 => \p_0_out_inferred__9_carry_i_12_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(4), + I5 => \p_0_out_inferred__9_carry_i_13_n_0\, + O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(1) + ); +\p_10_out_carry_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_14_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(0), + I2 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(2), + I3 => \p_0_out_inferred__9_carry_i_15_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(1), + I5 => \p_0_out_inferred__9_carry_i_16_n_0\, + O => \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(0) + ); +\p_12_out_carry_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_5_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(9), + I2 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(11), + I3 => \p_0_out_inferred__9_carry_i_6_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(10), + I5 => \p_0_out_inferred__9_carry_i_7_n_0\, + O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(3) + ); +\p_12_out_carry_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_8_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(6), + I2 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(8), + I3 => \p_0_out_inferred__9_carry_i_9_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(7), + I5 => \p_0_out_inferred__9_carry_i_10_n_0\, + O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(2) + ); +\p_12_out_carry_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_11_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(3), + I2 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(5), + I3 => \p_0_out_inferred__9_carry_i_12_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(4), + I5 => \p_0_out_inferred__9_carry_i_13_n_0\, + O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(1) + ); +\p_12_out_carry_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_14_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(0), + I2 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(2), + I3 => \p_0_out_inferred__9_carry_i_15_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(1), + I5 => \p_0_out_inferred__9_carry_i_16_n_0\, + O => \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(0) + ); +\p_14_out_carry_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_5_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(9), + I2 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(11), + I3 => \p_0_out_inferred__9_carry_i_6_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(10), + I5 => \p_0_out_inferred__9_carry_i_7_n_0\, + O => S(3) + ); +\p_14_out_carry_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_8_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(6), + I2 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(8), + I3 => \p_0_out_inferred__9_carry_i_9_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(7), + I5 => \p_0_out_inferred__9_carry_i_10_n_0\, + O => S(2) + ); +\p_14_out_carry_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_11_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(3), + I2 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(5), + I3 => \p_0_out_inferred__9_carry_i_12_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(4), + I5 => \p_0_out_inferred__9_carry_i_13_n_0\, + O => S(1) + ); +\p_14_out_carry_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_14_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(0), + I2 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(2), + I3 => \p_0_out_inferred__9_carry_i_15_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(1), + I5 => \p_0_out_inferred__9_carry_i_16_n_0\, + O => S(0) + ); +\p_2_out_carry_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_5_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(9), + I2 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(11), + I3 => \p_0_out_inferred__9_carry_i_6_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(10), + I5 => \p_0_out_inferred__9_carry_i_7_n_0\, + O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(3) + ); +\p_2_out_carry_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_8_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(6), + I2 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(8), + I3 => \p_0_out_inferred__9_carry_i_9_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(7), + I5 => \p_0_out_inferred__9_carry_i_10_n_0\, + O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(2) + ); +\p_2_out_carry_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_11_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(3), + I2 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(5), + I3 => \p_0_out_inferred__9_carry_i_12_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(4), + I5 => \p_0_out_inferred__9_carry_i_13_n_0\, + O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(1) + ); +\p_2_out_carry_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_14_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(0), + I2 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(2), + I3 => \p_0_out_inferred__9_carry_i_15_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(1), + I5 => \p_0_out_inferred__9_carry_i_16_n_0\, + O => \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(0) + ); +\p_4_out_carry_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_5_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(9), + I2 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(11), + I3 => \p_0_out_inferred__9_carry_i_6_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(10), + I5 => \p_0_out_inferred__9_carry_i_7_n_0\, + O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(3) + ); +\p_4_out_carry_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_8_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(6), + I2 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(8), + I3 => \p_0_out_inferred__9_carry_i_9_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(7), + I5 => \p_0_out_inferred__9_carry_i_10_n_0\, + O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(2) + ); +\p_4_out_carry_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_11_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(3), + I2 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(5), + I3 => \p_0_out_inferred__9_carry_i_12_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(4), + I5 => \p_0_out_inferred__9_carry_i_13_n_0\, + O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(1) + ); +\p_4_out_carry_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_14_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(0), + I2 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(2), + I3 => \p_0_out_inferred__9_carry_i_15_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(1), + I5 => \p_0_out_inferred__9_carry_i_16_n_0\, + O => \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(0) + ); +\p_6_out_carry_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_5_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(9), + I2 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(11), + I3 => \p_0_out_inferred__9_carry_i_6_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(10), + I5 => \p_0_out_inferred__9_carry_i_7_n_0\, + O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(3) + ); +\p_6_out_carry_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_8_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(6), + I2 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(8), + I3 => \p_0_out_inferred__9_carry_i_9_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(7), + I5 => \p_0_out_inferred__9_carry_i_10_n_0\, + O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(2) + ); +\p_6_out_carry_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_11_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(3), + I2 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(5), + I3 => \p_0_out_inferred__9_carry_i_12_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(4), + I5 => \p_0_out_inferred__9_carry_i_13_n_0\, + O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(1) + ); +\p_6_out_carry_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_14_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(0), + I2 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(2), + I3 => \p_0_out_inferred__9_carry_i_15_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(1), + I5 => \p_0_out_inferred__9_carry_i_16_n_0\, + O => \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(0) + ); +\p_8_out_carry_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_5_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(9), + I2 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(11), + I3 => \p_0_out_inferred__9_carry_i_6_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(10), + I5 => \p_0_out_inferred__9_carry_i_7_n_0\, + O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(3) + ); +\p_8_out_carry_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_8_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(6), + I2 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(8), + I3 => \p_0_out_inferred__9_carry_i_9_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(7), + I5 => \p_0_out_inferred__9_carry_i_10_n_0\, + O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(2) + ); +\p_8_out_carry_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_11_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(3), + I2 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(5), + I3 => \p_0_out_inferred__9_carry_i_12_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(4), + I5 => \p_0_out_inferred__9_carry_i_13_n_0\, + O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(1) + ); +\p_8_out_carry_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000066006600000" + ) + port map ( + I0 => \p_0_out_inferred__9_carry_i_14_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(0), + I2 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(2), + I3 => \p_0_out_inferred__9_carry_i_15_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(1), + I5 => \p_0_out_inferred__9_carry_i_16_n_0\, + O => \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(0) + ); +\s_axi_rdata[10]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(7), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(28), + O => s_axi_rdata(6) + ); +\s_axi_rdata[11]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(8), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(29), + O => s_axi_rdata(7) + ); +\s_axi_rdata[13]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(9), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(30), + O => s_axi_rdata(8) + ); +\s_axi_rdata[17]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(10), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(31), + O => s_axi_rdata(9) + ); +\s_axi_rdata[19]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(11), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(32), + O => s_axi_rdata(10) + ); +\s_axi_rdata[1]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(1), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(22), + O => s_axi_rdata(0) + ); +\s_axi_rdata[20]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(12), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(33), + O => s_axi_rdata(11) + ); +\s_axi_rdata[21]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(13), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(34), + O => s_axi_rdata(12) + ); +\s_axi_rdata[22]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(14), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(35), + O => s_axi_rdata(13) + ); +\s_axi_rdata[23]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(15), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(36), + O => s_axi_rdata(14) + ); +\s_axi_rdata[25]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(16), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(37), + O => s_axi_rdata(15) + ); +\s_axi_rdata[26]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(17), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(38), + O => s_axi_rdata(16) + ); +\s_axi_rdata[27]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(18), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(39), + O => s_axi_rdata(17) + ); +\s_axi_rdata[28]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(19), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(40), + O => s_axi_rdata(18) + ); +\s_axi_rdata[29]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(20), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(41), + O => s_axi_rdata(19) + ); +\s_axi_rdata[4]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(2), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(23), + O => s_axi_rdata(1) + ); +\s_axi_rdata[5]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(3), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(24), + O => s_axi_rdata(2) + ); +\s_axi_rdata[6]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(4), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(25), + O => s_axi_rdata(3) + ); +\s_axi_rdata[8]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(5), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(26), + O => s_axi_rdata(4) + ); +\s_axi_rdata[9]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3F2A2A2A002A2A2A" + ) + port map ( + I0 => st_mr_rmesg(6), + I1 => p_32_out, + I2 => \^chosen_reg[2]_0\, + I3 => p_54_out, + I4 => \^chosen_reg[1]_0\, + I5 => st_mr_rmesg(27), + O => s_axi_rdata(5) + ); +\s_axi_rid[0]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"4F444F44FFFF4F44" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_3_n_0\, + I1 => st_mr_rid(24), + I2 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I3 => st_mr_rid(0), + I4 => st_mr_rid(12), + I5 => \s_axi_rid[11]_INST_0_i_1_n_0\, + O => s_axi_rid(0) + ); +\s_axi_rid[10]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"4F444F44FFFF4F44" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_3_n_0\, + I1 => st_mr_rid(34), + I2 => \s_axi_rid[11]_INST_0_i_1_n_0\, + I3 => st_mr_rid(22), + I4 => st_mr_rid(10), + I5 => \s_axi_rid[11]_INST_0_i_2_n_0\, + O => s_axi_rid(10) + ); +\s_axi_rid[11]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"4F444F44FFFF4F44" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_1_n_0\, + I1 => st_mr_rid(23), + I2 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I3 => st_mr_rid(11), + I4 => st_mr_rid(35), + I5 => \s_axi_rid[11]_INST_0_i_3_n_0\, + O => s_axi_rid(11) + ); +\s_axi_rid[11]_INST_0_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"8FFF" + ) + port map ( + I0 => p_32_out, + I1 => \^chosen_reg[2]_0\, + I2 => p_54_out, + I3 => \^chosen_reg[1]_0\, + O => \s_axi_rid[11]_INST_0_i_1_n_0\ + ); +\s_axi_rid[11]_INST_0_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"F888" + ) + port map ( + I0 => p_54_out, + I1 => \^chosen_reg[1]_0\, + I2 => p_32_out, + I3 => \^chosen_reg[2]_0\, + O => \s_axi_rid[11]_INST_0_i_2_n_0\ + ); +\s_axi_rid[11]_INST_0_i_3\: unisim.vcomponents.LUT4 + generic map( + INIT => X"F777" + ) + port map ( + I0 => p_32_out, + I1 => \^chosen_reg[2]_0\, + I2 => p_54_out, + I3 => \^chosen_reg[1]_0\, + O => \s_axi_rid[11]_INST_0_i_3_n_0\ + ); +\s_axi_rid[1]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"4F444F44FFFF4F44" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I1 => st_mr_rid(1), + I2 => \s_axi_rid[11]_INST_0_i_3_n_0\, + I3 => st_mr_rid(25), + I4 => st_mr_rid(13), + I5 => \s_axi_rid[11]_INST_0_i_1_n_0\, + O => s_axi_rid(1) + ); +\s_axi_rid[2]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"4F444F44FFFF4F44" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_1_n_0\, + I1 => st_mr_rid(14), + I2 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I3 => st_mr_rid(2), + I4 => st_mr_rid(26), + I5 => \s_axi_rid[11]_INST_0_i_3_n_0\, + O => s_axi_rid(2) + ); +\s_axi_rid[3]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"4F444F44FFFF4F44" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I1 => st_mr_rid(3), + I2 => \s_axi_rid[11]_INST_0_i_3_n_0\, + I3 => st_mr_rid(27), + I4 => st_mr_rid(15), + I5 => \s_axi_rid[11]_INST_0_i_1_n_0\, + O => s_axi_rid(3) + ); +\s_axi_rid[4]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"4F444F44FFFF4F44" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_3_n_0\, + I1 => st_mr_rid(28), + I2 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I3 => st_mr_rid(4), + I4 => st_mr_rid(16), + I5 => \s_axi_rid[11]_INST_0_i_1_n_0\, + O => s_axi_rid(4) + ); +\s_axi_rid[5]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"4F444F44FFFF4F44" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I1 => st_mr_rid(5), + I2 => \s_axi_rid[11]_INST_0_i_3_n_0\, + I3 => st_mr_rid(29), + I4 => st_mr_rid(17), + I5 => \s_axi_rid[11]_INST_0_i_1_n_0\, + O => s_axi_rid(5) + ); +\s_axi_rid[6]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"4F444F44FFFF4F44" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_1_n_0\, + I1 => st_mr_rid(18), + I2 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I3 => st_mr_rid(6), + I4 => st_mr_rid(30), + I5 => \s_axi_rid[11]_INST_0_i_3_n_0\, + O => s_axi_rid(6) + ); +\s_axi_rid[7]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"4F444F44FFFF4F44" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_1_n_0\, + I1 => st_mr_rid(19), + I2 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I3 => st_mr_rid(7), + I4 => st_mr_rid(31), + I5 => \s_axi_rid[11]_INST_0_i_3_n_0\, + O => s_axi_rid(7) + ); +\s_axi_rid[8]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"4F444F44FFFF4F44" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_3_n_0\, + I1 => st_mr_rid(32), + I2 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I3 => st_mr_rid(8), + I4 => st_mr_rid(20), + I5 => \s_axi_rid[11]_INST_0_i_1_n_0\, + O => s_axi_rid(8) + ); +\s_axi_rid[9]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"4F444F44FFFF4F44" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_3_n_0\, + I1 => st_mr_rid(33), + I2 => \s_axi_rid[11]_INST_0_i_1_n_0\, + I3 => st_mr_rid(21), + I4 => st_mr_rid(9), + I5 => \s_axi_rid[11]_INST_0_i_2_n_0\, + O => s_axi_rid(9) + ); +\s_axi_rlast[0]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"44F444F4FFFF44F4" + ) + port map ( + I0 => \s_axi_rid[11]_INST_0_i_3_n_0\, + I1 => \m_payload_i_reg[34]\(0), + I2 => \m_payload_i_reg[34]_0\(0), + I3 => \s_axi_rid[11]_INST_0_i_2_n_0\, + I4 => \m_payload_i_reg[34]_1\(0), + I5 => \s_axi_rid[11]_INST_0_i_1_n_0\, + O => \^s_axi_rlast\(0) + ); +\s_axi_rresp[1]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3FEAEAEA00EAEAEA" + ) + port map ( + I0 => st_mr_rmesg(0), + I1 => \^chosen_reg[2]_0\, + I2 => p_32_out, + I3 => \^chosen_reg[1]_0\, + I4 => p_54_out, + I5 => st_mr_rmesg(21), + O => s_axi_rresp(0) + ); +\s_axi_rvalid[0]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFF888F888F888" + ) + port map ( + I0 => \^chosen_reg[2]_0\, + I1 => p_32_out, + I2 => \^chosen_reg[1]_0\, + I3 => p_54_out, + I4 => p_74_out, + I5 => \^chosen_reg[0]_0\, + O => s_axi_rvalid(0) + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_xbar_1_axi_crossbar_v2_1_10_decerr_slave is + port ( + mi_awready_2 : out STD_LOGIC; + wr_tmp_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); + p_21_in : out STD_LOGIC; + p_15_in : out STD_LOGIC; + p_17_in : out STD_LOGIC; + \gen_axi.write_cs_reg[1]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + mi_arready_2 : out STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 11 downto 0 ); + \skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); + SR : in STD_LOGIC_VECTOR ( 0 to 0 ); + aclk : in STD_LOGIC; + aa_sa_awvalid : in STD_LOGIC; + m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); + aa_mi_awtarget_hot : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rvalid_i : in STD_LOGIC; + mi_rready_2 : in STD_LOGIC; + \gen_no_arbiter.m_mesg_i_reg[51]\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); + \gen_axi.s_axi_awready_i_reg_0\ : in STD_LOGIC; + mi_bready_2 : in STD_LOGIC; + m_valid_i_reg : in STD_LOGIC; + aa_mi_arvalid : in STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_no_arbiter.m_mesg_i_reg[51]_0\ : in STD_LOGIC; + \gen_no_arbiter.m_mesg_i_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + aresetn_d : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_xbar_1_axi_crossbar_v2_1_10_decerr_slave : entity is "axi_crossbar_v2_1_10_decerr_slave"; +end system_design_xbar_1_axi_crossbar_v2_1_10_decerr_slave; + +architecture STRUCTURE of system_design_xbar_1_axi_crossbar_v2_1_10_decerr_slave is + signal \gen_axi.read_cnt[5]_i_2_n_0\ : STD_LOGIC; + signal \gen_axi.read_cnt[7]_i_1_n_0\ : STD_LOGIC; + signal \gen_axi.read_cnt[7]_i_3_n_0\ : STD_LOGIC; + signal \gen_axi.read_cnt_reg\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \gen_axi.read_cs[0]_i_1_n_0\ : STD_LOGIC; + signal \gen_axi.s_axi_arready_i_i_1_n_0\ : STD_LOGIC; + signal \gen_axi.s_axi_arready_i_i_2_n_0\ : STD_LOGIC; + signal \gen_axi.s_axi_awready_i_i_1_n_0\ : STD_LOGIC; + signal \gen_axi.s_axi_bid_i[11]_i_1_n_0\ : STD_LOGIC; + signal \gen_axi.s_axi_bvalid_i_i_1_n_0\ : STD_LOGIC; + signal \gen_axi.s_axi_rlast_i_i_1_n_0\ : STD_LOGIC; + signal \gen_axi.s_axi_rlast_i_i_3_n_0\ : STD_LOGIC; + signal \gen_axi.s_axi_rlast_i_i_5_n_0\ : STD_LOGIC; + signal \gen_axi.s_axi_wready_i_i_1_n_0\ : STD_LOGIC; + signal \gen_axi.write_cs[0]_i_1_n_0\ : STD_LOGIC; + signal \gen_axi.write_cs[1]_i_1_n_0\ : STD_LOGIC; + signal \^gen_axi.write_cs_reg[1]_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^mi_arready_2\ : STD_LOGIC; + signal \^mi_awready_2\ : STD_LOGIC; + signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal p_0_in_0 : STD_LOGIC_VECTOR ( 6 downto 0 ); + signal \^p_15_in\ : STD_LOGIC; + signal \^p_17_in\ : STD_LOGIC; + signal \^p_21_in\ : STD_LOGIC; + signal \^wr_tmp_wready\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal write_cs : STD_LOGIC_VECTOR ( 0 to 0 ); + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \gen_axi.read_cnt[0]_i_1\ : label is "soft_lutpair5"; + attribute SOFT_HLUTNM of \gen_axi.read_cnt[1]_i_1\ : label is "soft_lutpair5"; + attribute SOFT_HLUTNM of \gen_axi.read_cnt[7]_i_2\ : label is "soft_lutpair4"; + attribute SOFT_HLUTNM of \gen_axi.s_axi_arready_i_i_2\ : label is "soft_lutpair4"; + attribute SOFT_HLUTNM of \gen_axi.write_cs[0]_i_1\ : label is "soft_lutpair3"; + attribute SOFT_HLUTNM of \gen_axi.write_cs[1]_i_1\ : label is "soft_lutpair3"; +begin + \gen_axi.write_cs_reg[1]_0\(0) <= \^gen_axi.write_cs_reg[1]_0\(0); + mi_arready_2 <= \^mi_arready_2\; + mi_awready_2 <= \^mi_awready_2\; + p_15_in <= \^p_15_in\; + p_17_in <= \^p_17_in\; + p_21_in <= \^p_21_in\; + wr_tmp_wready(0) <= \^wr_tmp_wready\(0); +\gen_axi.read_cnt[0]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"74" + ) + port map ( + I0 => \gen_axi.read_cnt_reg\(0), + I1 => \^p_15_in\, + I2 => \gen_no_arbiter.m_mesg_i_reg[51]\(12), + O => p_0_in(0) + ); +\gen_axi.read_cnt[1]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"9F90" + ) + port map ( + I0 => p_0_in_0(6), + I1 => \gen_axi.read_cnt_reg\(0), + I2 => \^p_15_in\, + I3 => \gen_no_arbiter.m_mesg_i_reg[51]\(13), + O => p_0_in(1) + ); +\gen_axi.read_cnt[2]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"A9FFA900" + ) + port map ( + I0 => p_0_in_0(5), + I1 => \gen_axi.read_cnt_reg\(0), + I2 => p_0_in_0(6), + I3 => \^p_15_in\, + I4 => \gen_no_arbiter.m_mesg_i_reg[51]\(14), + O => p_0_in(2) + ); +\gen_axi.read_cnt[3]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAA9FFFFAAA90000" + ) + port map ( + I0 => p_0_in_0(4), + I1 => p_0_in_0(5), + I2 => p_0_in_0(6), + I3 => \gen_axi.read_cnt_reg\(0), + I4 => \^p_15_in\, + I5 => \gen_no_arbiter.m_mesg_i_reg[51]\(15), + O => p_0_in(3) + ); +\gen_axi.read_cnt[4]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6F60" + ) + port map ( + I0 => p_0_in_0(3), + I1 => \gen_axi.read_cnt[5]_i_2_n_0\, + I2 => \^p_15_in\, + I3 => \gen_no_arbiter.m_mesg_i_reg[51]\(16), + O => p_0_in(4) + ); +\gen_axi.read_cnt[5]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"9AFF9A00" + ) + port map ( + I0 => p_0_in_0(2), + I1 => p_0_in_0(3), + I2 => \gen_axi.read_cnt[5]_i_2_n_0\, + I3 => \^p_15_in\, + I4 => \gen_no_arbiter.m_mesg_i_reg[51]\(17), + O => p_0_in(5) + ); +\gen_axi.read_cnt[5]_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => \gen_axi.read_cnt_reg\(0), + I1 => p_0_in_0(6), + I2 => p_0_in_0(5), + I3 => p_0_in_0(4), + O => \gen_axi.read_cnt[5]_i_2_n_0\ + ); +\gen_axi.read_cnt[6]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"9F90" + ) + port map ( + I0 => p_0_in_0(1), + I1 => \gen_axi.read_cnt[7]_i_3_n_0\, + I2 => \^p_15_in\, + I3 => \gen_no_arbiter.m_mesg_i_reg[51]\(18), + O => p_0_in(6) + ); +\gen_axi.read_cnt[7]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"EAEAEAEAEAEAEAAA" + ) + port map ( + I0 => s_axi_rvalid_i, + I1 => \^p_15_in\, + I2 => mi_rready_2, + I3 => \gen_axi.read_cnt[7]_i_3_n_0\, + I4 => p_0_in_0(1), + I5 => p_0_in_0(0), + O => \gen_axi.read_cnt[7]_i_1_n_0\ + ); +\gen_axi.read_cnt[7]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"A9FFA900" + ) + port map ( + I0 => p_0_in_0(0), + I1 => \gen_axi.read_cnt[7]_i_3_n_0\, + I2 => p_0_in_0(1), + I3 => \^p_15_in\, + I4 => \gen_no_arbiter.m_mesg_i_reg[51]\(19), + O => p_0_in(7) + ); +\gen_axi.read_cnt[7]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFFFFFFFFFFFFE" + ) + port map ( + I0 => p_0_in_0(3), + I1 => p_0_in_0(2), + I2 => p_0_in_0(4), + I3 => p_0_in_0(5), + I4 => p_0_in_0(6), + I5 => \gen_axi.read_cnt_reg\(0), + O => \gen_axi.read_cnt[7]_i_3_n_0\ + ); +\gen_axi.read_cnt_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.read_cnt[7]_i_1_n_0\, + D => p_0_in(0), + Q => \gen_axi.read_cnt_reg\(0), + R => SR(0) + ); +\gen_axi.read_cnt_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.read_cnt[7]_i_1_n_0\, + D => p_0_in(1), + Q => p_0_in_0(6), + R => SR(0) + ); +\gen_axi.read_cnt_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.read_cnt[7]_i_1_n_0\, + D => p_0_in(2), + Q => p_0_in_0(5), + R => SR(0) + ); +\gen_axi.read_cnt_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.read_cnt[7]_i_1_n_0\, + D => p_0_in(3), + Q => p_0_in_0(4), + R => SR(0) + ); +\gen_axi.read_cnt_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.read_cnt[7]_i_1_n_0\, + D => p_0_in(4), + Q => p_0_in_0(3), + R => SR(0) + ); +\gen_axi.read_cnt_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.read_cnt[7]_i_1_n_0\, + D => p_0_in(5), + Q => p_0_in_0(2), + R => SR(0) + ); +\gen_axi.read_cnt_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.read_cnt[7]_i_1_n_0\, + D => p_0_in(6), + Q => p_0_in_0(1), + R => SR(0) + ); +\gen_axi.read_cnt_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.read_cnt[7]_i_1_n_0\, + D => p_0_in(7), + Q => p_0_in_0(0), + R => SR(0) + ); +\gen_axi.read_cs[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"DFD0D0D0D0D0D0D0" + ) + port map ( + I0 => mi_rready_2, + I1 => \gen_axi.s_axi_arready_i_i_2_n_0\, + I2 => \^p_15_in\, + I3 => aa_mi_arvalid, + I4 => \^mi_arready_2\, + I5 => \gen_no_arbiter.m_target_hot_i_reg[2]\(0), + O => \gen_axi.read_cs[0]_i_1_n_0\ + ); +\gen_axi.read_cs_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \gen_axi.read_cs[0]_i_1_n_0\, + Q => \^p_15_in\, + R => SR(0) + ); +\gen_axi.s_axi_arready_i_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00000000BFBB0000" + ) + port map ( + I0 => \^mi_arready_2\, + I1 => \^p_15_in\, + I2 => \gen_axi.s_axi_arready_i_i_2_n_0\, + I3 => mi_rready_2, + I4 => aresetn_d, + I5 => s_axi_rvalid_i, + O => \gen_axi.s_axi_arready_i_i_1_n_0\ + ); +\gen_axi.s_axi_arready_i_i_2\: unisim.vcomponents.LUT3 + generic map( + INIT => X"FE" + ) + port map ( + I0 => \gen_axi.read_cnt[7]_i_3_n_0\, + I1 => p_0_in_0(1), + I2 => p_0_in_0(0), + O => \gen_axi.s_axi_arready_i_i_2_n_0\ + ); +\gen_axi.s_axi_arready_i_reg\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \gen_axi.s_axi_arready_i_i_1_n_0\, + Q => \^mi_arready_2\, + R => '0' + ); +\gen_axi.s_axi_awready_i_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FFDD3011" + ) + port map ( + I0 => \gen_axi.s_axi_awready_i_reg_0\, + I1 => write_cs(0), + I2 => mi_bready_2, + I3 => \^gen_axi.write_cs_reg[1]_0\(0), + I4 => \^mi_awready_2\, + O => \gen_axi.s_axi_awready_i_i_1_n_0\ + ); +\gen_axi.s_axi_awready_i_reg\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \gen_axi.s_axi_awready_i_i_1_n_0\, + Q => \^mi_awready_2\, + R => SR(0) + ); +\gen_axi.s_axi_bid_i[11]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0010000000000000" + ) + port map ( + I0 => \^gen_axi.write_cs_reg[1]_0\(0), + I1 => write_cs(0), + I2 => aa_sa_awvalid, + I3 => m_ready_d(0), + I4 => aa_mi_awtarget_hot(0), + I5 => \^mi_awready_2\, + O => \gen_axi.s_axi_bid_i[11]_i_1_n_0\ + ); +\gen_axi.s_axi_bid_i_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, + D => \gen_no_arbiter.m_mesg_i_reg[11]\(0), + Q => Q(0), + R => SR(0) + ); +\gen_axi.s_axi_bid_i_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, + D => \gen_no_arbiter.m_mesg_i_reg[11]\(10), + Q => Q(10), + R => SR(0) + ); +\gen_axi.s_axi_bid_i_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, + D => \gen_no_arbiter.m_mesg_i_reg[11]\(11), + Q => Q(11), + R => SR(0) + ); +\gen_axi.s_axi_bid_i_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, + D => \gen_no_arbiter.m_mesg_i_reg[11]\(1), + Q => Q(1), + R => SR(0) + ); +\gen_axi.s_axi_bid_i_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, + D => \gen_no_arbiter.m_mesg_i_reg[11]\(2), + Q => Q(2), + R => SR(0) + ); +\gen_axi.s_axi_bid_i_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, + D => \gen_no_arbiter.m_mesg_i_reg[11]\(3), + Q => Q(3), + R => SR(0) + ); +\gen_axi.s_axi_bid_i_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, + D => \gen_no_arbiter.m_mesg_i_reg[11]\(4), + Q => Q(4), + R => SR(0) + ); +\gen_axi.s_axi_bid_i_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, + D => \gen_no_arbiter.m_mesg_i_reg[11]\(5), + Q => Q(5), + R => SR(0) + ); +\gen_axi.s_axi_bid_i_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, + D => \gen_no_arbiter.m_mesg_i_reg[11]\(6), + Q => Q(6), + R => SR(0) + ); +\gen_axi.s_axi_bid_i_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, + D => \gen_no_arbiter.m_mesg_i_reg[11]\(7), + Q => Q(7), + R => SR(0) + ); +\gen_axi.s_axi_bid_i_reg[8]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, + D => \gen_no_arbiter.m_mesg_i_reg[11]\(8), + Q => Q(8), + R => SR(0) + ); +\gen_axi.s_axi_bid_i_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, + D => \gen_no_arbiter.m_mesg_i_reg[11]\(9), + Q => Q(9), + R => SR(0) + ); +\gen_axi.s_axi_bvalid_i_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"EFFFA888" + ) + port map ( + I0 => m_valid_i_reg, + I1 => write_cs(0), + I2 => \^gen_axi.write_cs_reg[1]_0\(0), + I3 => mi_bready_2, + I4 => \^p_21_in\, + O => \gen_axi.s_axi_bvalid_i_i_1_n_0\ + ); +\gen_axi.s_axi_bvalid_i_reg\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \gen_axi.s_axi_bvalid_i_i_1_n_0\, + Q => \^p_21_in\, + R => SR(0) + ); +\gen_axi.s_axi_rid_i_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_axi_rvalid_i, + D => \gen_no_arbiter.m_mesg_i_reg[51]\(0), + Q => \skid_buffer_reg[46]\(0), + R => SR(0) + ); +\gen_axi.s_axi_rid_i_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_axi_rvalid_i, + D => \gen_no_arbiter.m_mesg_i_reg[51]\(10), + Q => \skid_buffer_reg[46]\(10), + R => SR(0) + ); +\gen_axi.s_axi_rid_i_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_axi_rvalid_i, + D => \gen_no_arbiter.m_mesg_i_reg[51]\(11), + Q => \skid_buffer_reg[46]\(11), + R => SR(0) + ); +\gen_axi.s_axi_rid_i_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_axi_rvalid_i, + D => \gen_no_arbiter.m_mesg_i_reg[51]\(1), + Q => \skid_buffer_reg[46]\(1), + R => SR(0) + ); +\gen_axi.s_axi_rid_i_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_axi_rvalid_i, + D => \gen_no_arbiter.m_mesg_i_reg[51]\(2), + Q => \skid_buffer_reg[46]\(2), + R => SR(0) + ); +\gen_axi.s_axi_rid_i_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_axi_rvalid_i, + D => \gen_no_arbiter.m_mesg_i_reg[51]\(3), + Q => \skid_buffer_reg[46]\(3), + R => SR(0) + ); +\gen_axi.s_axi_rid_i_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_axi_rvalid_i, + D => \gen_no_arbiter.m_mesg_i_reg[51]\(4), + Q => \skid_buffer_reg[46]\(4), + R => SR(0) + ); +\gen_axi.s_axi_rid_i_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_axi_rvalid_i, + D => \gen_no_arbiter.m_mesg_i_reg[51]\(5), + Q => \skid_buffer_reg[46]\(5), + R => SR(0) + ); +\gen_axi.s_axi_rid_i_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_axi_rvalid_i, + D => \gen_no_arbiter.m_mesg_i_reg[51]\(6), + Q => \skid_buffer_reg[46]\(6), + R => SR(0) + ); +\gen_axi.s_axi_rid_i_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_axi_rvalid_i, + D => \gen_no_arbiter.m_mesg_i_reg[51]\(7), + Q => \skid_buffer_reg[46]\(7), + R => SR(0) + ); +\gen_axi.s_axi_rid_i_reg[8]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_axi_rvalid_i, + D => \gen_no_arbiter.m_mesg_i_reg[51]\(8), + Q => \skid_buffer_reg[46]\(8), + R => SR(0) + ); +\gen_axi.s_axi_rid_i_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => s_axi_rvalid_i, + D => \gen_no_arbiter.m_mesg_i_reg[51]\(9), + Q => \skid_buffer_reg[46]\(9), + R => SR(0) + ); +\gen_axi.s_axi_rlast_i_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"B8FFB800" + ) + port map ( + I0 => \gen_axi.s_axi_arready_i_i_2_n_0\, + I1 => \^p_15_in\, + I2 => \gen_no_arbiter.m_mesg_i_reg[51]_0\, + I3 => \gen_axi.s_axi_rlast_i_i_3_n_0\, + I4 => \^p_17_in\, + O => \gen_axi.s_axi_rlast_i_i_1_n_0\ + ); +\gen_axi.s_axi_rlast_i_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"BAAAAAAA" + ) + port map ( + I0 => s_axi_rvalid_i, + I1 => p_0_in_0(6), + I2 => mi_rready_2, + I3 => \^p_15_in\, + I4 => \gen_axi.s_axi_rlast_i_i_5_n_0\, + O => \gen_axi.s_axi_rlast_i_i_3_n_0\ + ); +\gen_axi.s_axi_rlast_i_i_5\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000000001" + ) + port map ( + I0 => p_0_in_0(1), + I1 => p_0_in_0(0), + I2 => p_0_in_0(2), + I3 => p_0_in_0(3), + I4 => p_0_in_0(4), + I5 => p_0_in_0(5), + O => \gen_axi.s_axi_rlast_i_i_5_n_0\ + ); +\gen_axi.s_axi_rlast_i_reg\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \gen_axi.s_axi_rlast_i_i_1_n_0\, + Q => \^p_17_in\, + R => SR(0) + ); +\gen_axi.s_axi_wready_i_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"0FFF0202" + ) + port map ( + I0 => \gen_axi.s_axi_awready_i_reg_0\, + I1 => \^gen_axi.write_cs_reg[1]_0\(0), + I2 => write_cs(0), + I3 => m_valid_i_reg, + I4 => \^wr_tmp_wready\(0), + O => \gen_axi.s_axi_wready_i_i_1_n_0\ + ); +\gen_axi.s_axi_wready_i_reg\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \gen_axi.s_axi_wready_i_i_1_n_0\, + Q => \^wr_tmp_wready\(0), + R => SR(0) + ); +\gen_axi.write_cs[0]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0252" + ) + port map ( + I0 => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, + I1 => \^gen_axi.write_cs_reg[1]_0\(0), + I2 => write_cs(0), + I3 => m_valid_i_reg, + O => \gen_axi.write_cs[0]_i_1_n_0\ + ); +\gen_axi.write_cs[1]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FF10FA10" + ) + port map ( + I0 => \gen_axi.s_axi_bid_i[11]_i_1_n_0\, + I1 => mi_bready_2, + I2 => \^gen_axi.write_cs_reg[1]_0\(0), + I3 => write_cs(0), + I4 => m_valid_i_reg, + O => \gen_axi.write_cs[1]_i_1_n_0\ + ); +\gen_axi.write_cs_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \gen_axi.write_cs[0]_i_1_n_0\, + Q => write_cs(0), + R => SR(0) + ); +\gen_axi.write_cs_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \gen_axi.write_cs[1]_i_1_n_0\, + Q => \^gen_axi.write_cs_reg[1]_0\(0), + R => SR(0) + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_xbar_1_axi_crossbar_v2_1_10_splitter is + port ( + \s_axi_awready[0]\ : out STD_LOGIC; + m_ready_d : out STD_LOGIC_VECTOR ( 1 downto 0 ); + ss_wr_awvalid : out STD_LOGIC; + ss_aa_awready : in STD_LOGIC; + ss_wr_awready : in STD_LOGIC; + s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + aresetn_d : in STD_LOGIC; + aclk : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_xbar_1_axi_crossbar_v2_1_10_splitter : entity is "axi_crossbar_v2_1_10_splitter"; +end system_design_xbar_1_axi_crossbar_v2_1_10_splitter; + +architecture STRUCTURE of system_design_xbar_1_axi_crossbar_v2_1_10_splitter is + signal \^m_ready_d\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \m_ready_d[0]_i_1_n_0\ : STD_LOGIC; + signal \m_ready_d[1]_i_1_n_0\ : STD_LOGIC; + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \FSM_onehot_state[3]_i_4\ : label is "soft_lutpair114"; + attribute SOFT_HLUTNM of \s_axi_awready[0]_INST_0\ : label is "soft_lutpair114"; +begin + m_ready_d(1 downto 0) <= \^m_ready_d\(1 downto 0); +\FSM_onehot_state[3]_i_4\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_awvalid(0), + I1 => \^m_ready_d\(1), + O => ss_wr_awvalid + ); +\m_ready_d[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"000000000000CC80" + ) + port map ( + I0 => s_axi_awvalid(0), + I1 => aresetn_d, + I2 => ss_aa_awready, + I3 => \^m_ready_d\(0), + I4 => \^m_ready_d\(1), + I5 => ss_wr_awready, + O => \m_ready_d[0]_i_1_n_0\ + ); +\m_ready_d[1]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"000C0008000C0000" + ) + port map ( + I0 => s_axi_awvalid(0), + I1 => aresetn_d, + I2 => ss_aa_awready, + I3 => \^m_ready_d\(0), + I4 => \^m_ready_d\(1), + I5 => ss_wr_awready, + O => \m_ready_d[1]_i_1_n_0\ + ); +\m_ready_d_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \m_ready_d[0]_i_1_n_0\, + Q => \^m_ready_d\(0), + R => '0' + ); +\m_ready_d_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \m_ready_d[1]_i_1_n_0\, + Q => \^m_ready_d\(1), + R => '0' + ); +\s_axi_awready[0]_INST_0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"EEE0" + ) + port map ( + I0 => ss_aa_awready, + I1 => \^m_ready_d\(0), + I2 => \^m_ready_d\(1), + I3 => ss_wr_awready, + O => \s_axi_awready[0]\ + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_xbar_1_axi_crossbar_v2_1_10_splitter_3 is + port ( + \m_ready_d_reg[1]_0\ : out STD_LOGIC; + \m_ready_d_reg[1]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awready : in STD_LOGIC_VECTOR ( 0 to 0 ); + mi_awready_2 : in STD_LOGIC; + aa_mi_awtarget_hot : in STD_LOGIC_VECTOR ( 1 downto 0 ); + aa_sa_awvalid : in STD_LOGIC; + \gen_axi.s_axi_awready_i_reg\ : in STD_LOGIC; + aresetn_d : in STD_LOGIC; + aclk : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_xbar_1_axi_crossbar_v2_1_10_splitter_3 : entity is "axi_crossbar_v2_1_10_splitter"; +end system_design_xbar_1_axi_crossbar_v2_1_10_splitter_3; + +architecture STRUCTURE of system_design_xbar_1_axi_crossbar_v2_1_10_splitter_3 is + signal m_ready_d : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \m_ready_d[0]_i_1_n_0\ : STD_LOGIC; + signal \m_ready_d[1]_i_1_n_0\ : STD_LOGIC; + signal \^m_ready_d_reg[1]_0\ : STD_LOGIC; + signal \^m_ready_d_reg[1]_1\ : STD_LOGIC_VECTOR ( 0 to 0 ); +begin + \m_ready_d_reg[1]_0\ <= \^m_ready_d_reg[1]_0\; + \m_ready_d_reg[1]_1\(0) <= \^m_ready_d_reg[1]_1\(0); +\m_ready_d[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00000000EEEC0000" + ) + port map ( + I0 => aa_sa_awvalid, + I1 => m_ready_d(0), + I2 => aa_mi_awtarget_hot(0), + I3 => aa_mi_awtarget_hot(1), + I4 => aresetn_d, + I5 => \^m_ready_d_reg[1]_0\, + O => \m_ready_d[0]_i_1_n_0\ + ); +\m_ready_d[1]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"0000E000" + ) + port map ( + I0 => \^m_ready_d_reg[1]_1\(0), + I1 => aa_sa_awvalid, + I2 => \gen_axi.s_axi_awready_i_reg\, + I3 => aresetn_d, + I4 => \^m_ready_d_reg[1]_0\, + O => \m_ready_d[1]_i_1_n_0\ + ); +\m_ready_d[1]_i_3\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FEFEEEEEFAFAAA00" + ) + port map ( + I0 => \^m_ready_d_reg[1]_1\(0), + I1 => m_axi_awready(0), + I2 => mi_awready_2, + I3 => m_ready_d(0), + I4 => aa_mi_awtarget_hot(1), + I5 => aa_mi_awtarget_hot(0), + O => \^m_ready_d_reg[1]_0\ + ); +\m_ready_d_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \m_ready_d[0]_i_1_n_0\, + Q => m_ready_d(0), + R => '0' + ); +\m_ready_d_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \m_ready_d[1]_i_1_n_0\, + Q => \^m_ready_d_reg[1]_1\(0), + R => '0' + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity \system_design_xbar_1_axi_data_fifo_v2_1_8_ndeep_srl__parameterized0\ is + port ( + \storage_data1_reg[0]\ : out STD_LOGIC; + push : in STD_LOGIC; + fifoaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); + aclk : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of \system_design_xbar_1_axi_data_fifo_v2_1_8_ndeep_srl__parameterized0\ : entity is "axi_data_fifo_v2_1_8_ndeep_srl"; +end \system_design_xbar_1_axi_data_fifo_v2_1_8_ndeep_srl__parameterized0\; + +architecture STRUCTURE of \system_design_xbar_1_axi_data_fifo_v2_1_8_ndeep_srl__parameterized0\ is + attribute BOX_TYPE : string; + attribute BOX_TYPE of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "PRIMITIVE"; + attribute XILINX_LEGACY_PRIM : string; + attribute XILINX_LEGACY_PRIM of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "SRLC32E"; + attribute srl_bus_name : string; + attribute srl_bus_name of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[0].srl_nx1/gen_primitive_shifter.gen_srls "; + attribute srl_name : string; + attribute srl_name of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[0].srl_nx1/gen_primitive_shifter.gen_srls[0].srl_inst "; +begin +\gen_primitive_shifter.gen_srls[0].srl_inst\: unisim.vcomponents.SRL16E + generic map( + INIT => X"0000", + IS_CLK_INVERTED => '0' + ) + port map ( + A0 => fifoaddr(0), + A1 => fifoaddr(1), + A2 => fifoaddr(2), + A3 => '0', + CE => push, + CLK => aclk, + D => '0', + Q => \storage_data1_reg[0]\ + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity \system_design_xbar_1_axi_data_fifo_v2_1_8_ndeep_srl__parameterized1\ is + port ( + push : out STD_LOGIC; + \storage_data1_reg[1]\ : out STD_LOGIC; + s_ready_i_reg : out STD_LOGIC; + \gen_rep[0].fifoaddr_reg[0]\ : out STD_LOGIC; + \s_axi_awaddr[20]\ : in STD_LOGIC; + fifoaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); + aclk : in STD_LOGIC; + match : in STD_LOGIC; + out0 : in STD_LOGIC_VECTOR ( 1 downto 0 ); + load_s1 : in STD_LOGIC; + storage_data1 : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_ready_i_reg_0 : in STD_LOGIC; + m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_avalid : in STD_LOGIC; + s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); + wr_tmp_wready : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 ) + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of \system_design_xbar_1_axi_data_fifo_v2_1_8_ndeep_srl__parameterized1\ : entity is "axi_data_fifo_v2_1_8_ndeep_srl"; +end \system_design_xbar_1_axi_data_fifo_v2_1_8_ndeep_srl__parameterized1\; + +architecture STRUCTURE of \system_design_xbar_1_axi_data_fifo_v2_1_8_ndeep_srl__parameterized1\ is + signal \FSM_onehot_state[3]_i_6_n_0\ : STD_LOGIC; + signal \^gen_rep[0].fifoaddr_reg[0]\ : STD_LOGIC; + signal p_2_out : STD_LOGIC; + signal \^push\ : STD_LOGIC; + signal \^s_ready_i_reg\ : STD_LOGIC; + attribute BOX_TYPE : string; + attribute BOX_TYPE of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "PRIMITIVE"; + attribute XILINX_LEGACY_PRIM : string; + attribute XILINX_LEGACY_PRIM of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "SRLC32E"; + attribute srl_bus_name : string; + attribute srl_bus_name of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[1].srl_nx1/gen_primitive_shifter.gen_srls "; + attribute srl_name : string; + attribute srl_name of \gen_primitive_shifter.gen_srls[0].srl_inst\ : label is "inst/\gen_samd.crossbar_samd/gen_slave_slots[0].gen_si_write.wdata_router_w/wrouter_aw_fifo/gen_srls[0].gen_rep[1].srl_nx1/gen_primitive_shifter.gen_srls[0].srl_inst "; +begin + \gen_rep[0].fifoaddr_reg[0]\ <= \^gen_rep[0].fifoaddr_reg[0]\; + push <= \^push\; + s_ready_i_reg <= \^s_ready_i_reg\; +\FSM_onehot_state[3]_i_3\: unisim.vcomponents.LUT4 + generic map( + INIT => X"BFFF" + ) + port map ( + I0 => \FSM_onehot_state[3]_i_6_n_0\, + I1 => s_axi_wvalid(0), + I2 => m_avalid, + I3 => s_axi_wlast(0), + O => \^gen_rep[0].fifoaddr_reg[0]\ + ); +\FSM_onehot_state[3]_i_6\: unisim.vcomponents.LUT5 + generic map( + INIT => X"F503F5F3" + ) + port map ( + I0 => wr_tmp_wready(0), + I1 => m_axi_wready(0), + I2 => storage_data1(0), + I3 => storage_data1(1), + I4 => m_axi_wready(1), + O => \FSM_onehot_state[3]_i_6_n_0\ + ); +\gen_primitive_shifter.gen_srls[0].srl_inst\: unisim.vcomponents.SRL16E + generic map( + INIT => X"0000", + IS_CLK_INVERTED => '0' + ) + port map ( + A0 => fifoaddr(0), + A1 => fifoaddr(1), + A2 => fifoaddr(2), + A3 => '0', + CE => \^push\, + CLK => aclk, + D => \s_axi_awaddr[20]\, + Q => p_2_out + ); +\gen_primitive_shifter.gen_srls[0].srl_inst_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^s_ready_i_reg\, + O => \^push\ + ); +\gen_primitive_shifter.gen_srls[0].srl_inst_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FF07FFFFFF77FFFF" + ) + port map ( + I0 => out0(1), + I1 => \^gen_rep[0].fifoaddr_reg[0]\, + I2 => s_ready_i_reg_0, + I3 => m_ready_d(0), + I4 => s_axi_awvalid(0), + I5 => out0(0), + O => \^s_ready_i_reg\ + ); +\storage_data1[1]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"C5FFC500" + ) + port map ( + I0 => match, + I1 => p_2_out, + I2 => out0(0), + I3 => load_s1, + I4 => storage_data1(1), + O => \storage_data1_reg[1]\ + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1\ is + port ( + \m_payload_i_reg[2]_0\ : out STD_LOGIC; + m_valid_i_reg_0 : out STD_LOGIC; + mi_bready_2 : out STD_LOGIC; + s_ready_i_reg_0 : out STD_LOGIC; + s_axi_bid : out STD_LOGIC_VECTOR ( 5 downto 0 ); + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ : out STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1\ : out STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2\ : out STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3\ : out STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4\ : out STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 5 downto 0 ); + aclk : in STD_LOGIC; + p_1_in : in STD_LOGIC; + \aresetn_d_reg[0]\ : in STD_LOGIC; + p_21_in : in STD_LOGIC; + chosen : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); + \m_payload_i_reg[11]_0\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \chosen_reg[1]\ : in STD_LOGIC; + D : in STD_LOGIC_VECTOR ( 11 downto 0 ) + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_9_axic_register_slice"; +end \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1\; + +architecture STRUCTURE of \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1\ is + signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : STD_LOGIC; + signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ : STD_LOGIC; + signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1\ : STD_LOGIC; + signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2\ : STD_LOGIC; + signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3\ : STD_LOGIC; + signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4\ : STD_LOGIC; + signal \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in\ : STD_LOGIC; + signal \^m_payload_i_reg[2]_0\ : STD_LOGIC; + signal \m_valid_i_i_1__1_n_0\ : STD_LOGIC; + signal \^m_valid_i_reg_0\ : STD_LOGIC; + signal \^mi_bready_2\ : STD_LOGIC; + signal \s_ready_i_i_1__5_n_0\ : STD_LOGIC; + signal \^s_ready_i_reg_0\ : STD_LOGIC; + signal st_mr_bid : STD_LOGIC_VECTOR ( 33 downto 25 ); +begin + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1\ <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1\; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2\ <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2\; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3\ <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3\; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4\ <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4\; + \m_payload_i_reg[2]_0\ <= \^m_payload_i_reg[2]_0\; + m_valid_i_reg_0 <= \^m_valid_i_reg_0\; + mi_bready_2 <= \^mi_bready_2\; + s_ready_i_reg_0 <= \^s_ready_i_reg_0\; +\aresetn_d_reg[1]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => aclk, + CE => '1', + D => \aresetn_d_reg[0]\, + Q => \^s_ready_i_reg_0\, + R => '0' + ); +\m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^m_payload_i_reg[2]_0\, + O => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in\ + ); +\m_payload_i_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in\, + D => D(8), + Q => st_mr_bid(32), + R => '0' + ); +\m_payload_i_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in\, + D => D(9), + Q => st_mr_bid(33), + R => '0' + ); +\m_payload_i_reg[12]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in\, + D => D(10), + Q => Q(4), + R => '0' + ); +\m_payload_i_reg[13]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in\, + D => D(11), + Q => Q(5), + R => '0' + ); +\m_payload_i_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in\, + D => D(0), + Q => Q(0), + R => '0' + ); +\m_payload_i_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in\, + D => D(1), + Q => st_mr_bid(25), + R => '0' + ); +\m_payload_i_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in\, + D => D(2), + Q => Q(1), + R => '0' + ); +\m_payload_i_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in\, + D => D(3), + Q => st_mr_bid(27), + R => '0' + ); +\m_payload_i_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in\, + D => D(4), + Q => Q(2), + R => '0' + ); +\m_payload_i_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in\, + D => D(5), + Q => st_mr_bid(29), + R => '0' + ); +\m_payload_i_reg[8]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in\, + D => D(6), + Q => st_mr_bid(30), + R => '0' + ); +\m_payload_i_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen40_in\, + D => D(7), + Q => Q(3), + R => '0' + ); +\m_valid_i_i_1__1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"8BBBBBBB" + ) + port map ( + I0 => p_21_in, + I1 => \^mi_bready_2\, + I2 => s_axi_bready(0), + I3 => chosen(0), + I4 => \^m_payload_i_reg[2]_0\, + O => \m_valid_i_i_1__1_n_0\ + ); +\m_valid_i_i_1__5\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^s_ready_i_reg_0\, + O => \^m_valid_i_reg_0\ + ); +m_valid_i_reg: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \m_valid_i_i_1__1_n_0\, + Q => \^m_payload_i_reg[2]_0\, + R => \^m_valid_i_reg_0\ + ); +\s_axi_bid[1]_INST_0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\, + O => s_axi_bid(0) + ); +\s_axi_bid[1]_INST_0_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"F5030303F5F3F3F3" + ) + port map ( + I0 => st_mr_bid(25), + I1 => \m_payload_i_reg[11]_0\(0), + I2 => \chosen_reg[1]\, + I3 => chosen(0), + I4 => \^m_payload_i_reg[2]_0\, + I5 => \m_payload_i_reg[11]_0\(6), + O => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ + ); +\s_axi_bid[3]_INST_0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\, + O => s_axi_bid(1) + ); +\s_axi_bid[3]_INST_0_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"F3050505F3F5F5F5" + ) + port map ( + I0 => \m_payload_i_reg[11]_0\(1), + I1 => st_mr_bid(27), + I2 => \chosen_reg[1]\, + I3 => chosen(0), + I4 => \^m_payload_i_reg[2]_0\, + I5 => \m_payload_i_reg[11]_0\(7), + O => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ + ); +\s_axi_bid[5]_INST_0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1\, + O => s_axi_bid(2) + ); +\s_axi_bid[5]_INST_0_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"F3050505F3F5F5F5" + ) + port map ( + I0 => \m_payload_i_reg[11]_0\(2), + I1 => st_mr_bid(29), + I2 => \chosen_reg[1]\, + I3 => chosen(0), + I4 => \^m_payload_i_reg[2]_0\, + I5 => \m_payload_i_reg[11]_0\(8), + O => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1\ + ); +\s_axi_bid[6]_INST_0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2\, + O => s_axi_bid(3) + ); +\s_axi_bid[6]_INST_0_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"F3050505F3F5F5F5" + ) + port map ( + I0 => \m_payload_i_reg[11]_0\(3), + I1 => st_mr_bid(30), + I2 => \chosen_reg[1]\, + I3 => chosen(0), + I4 => \^m_payload_i_reg[2]_0\, + I5 => \m_payload_i_reg[11]_0\(9), + O => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2\ + ); +\s_axi_bid[8]_INST_0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3\, + O => s_axi_bid(4) + ); +\s_axi_bid[8]_INST_0_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"F5030303F5F3F3F3" + ) + port map ( + I0 => st_mr_bid(32), + I1 => \m_payload_i_reg[11]_0\(4), + I2 => \chosen_reg[1]\, + I3 => chosen(0), + I4 => \^m_payload_i_reg[2]_0\, + I5 => \m_payload_i_reg[11]_0\(10), + O => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3\ + ); +\s_axi_bid[9]_INST_0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4\, + O => s_axi_bid(5) + ); +\s_axi_bid[9]_INST_0_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"F3050505F3F5F5F5" + ) + port map ( + I0 => \m_payload_i_reg[11]_0\(5), + I1 => st_mr_bid(33), + I2 => \chosen_reg[1]\, + I3 => chosen(0), + I4 => \^m_payload_i_reg[2]_0\, + I5 => \m_payload_i_reg[11]_0\(11), + O => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4\ + ); +\s_ready_i_i_1__5\: unisim.vcomponents.LUT5 + generic map( + INIT => X"B111FFFF" + ) + port map ( + I0 => \^m_payload_i_reg[2]_0\, + I1 => p_21_in, + I2 => chosen(0), + I3 => s_axi_bready(0), + I4 => \^s_ready_i_reg_0\, + O => \s_ready_i_i_1__5_n_0\ + ); +s_ready_i_reg: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \s_ready_i_i_1__5_n_0\, + Q => \^mi_bready_2\, + R => p_1_in + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1_5\ is + port ( + \m_payload_i_reg[0]_0\ : out STD_LOGIC; + m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); + p_1_in : out STD_LOGIC; + s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + \aresetn_d_reg[1]\ : out STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); + \aresetn_d_reg[1]_0\ : in STD_LOGIC; + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + chosen : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); + \aresetn_d_reg[1]_1\ : in STD_LOGIC; + \m_payload_i_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + p_38_out : in STD_LOGIC; + D : in STD_LOGIC_VECTOR ( 13 downto 0 ) + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1_5\ : entity is "axi_register_slice_v2_1_9_axic_register_slice"; +end \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1_5\; + +architecture STRUCTURE of \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1_5\ is + signal \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\ : STD_LOGIC; + signal \^m_axi_bready\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; + signal \m_valid_i_i_1__0_n_0\ : STD_LOGIC; + signal p_0_in : STD_LOGIC_VECTOR ( 1 to 1 ); + signal \^p_1_in\ : STD_LOGIC; + signal \s_ready_i_i_2__0_n_0\ : STD_LOGIC; + signal st_mr_bmesg : STD_LOGIC_VECTOR ( 4 downto 3 ); +begin + m_axi_bready(0) <= \^m_axi_bready\(0); + \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; + p_1_in <= \^p_1_in\; +\aresetn_d[1]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => p_0_in(1), + I1 => aresetn, + O => \aresetn_d_reg[1]\ + ); +\aresetn_d_reg[0]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => aclk, + CE => '1', + D => aresetn, + Q => p_0_in(1), + R => '0' + ); +\m_payload_i[13]_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^m_payload_i_reg[0]_0\, + O => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\ + ); +\m_payload_i_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, + D => D(0), + Q => st_mr_bmesg(3), + R => '0' + ); +\m_payload_i_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, + D => D(10), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), + R => '0' + ); +\m_payload_i_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, + D => D(11), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), + R => '0' + ); +\m_payload_i_reg[12]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, + D => D(12), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), + R => '0' + ); +\m_payload_i_reg[13]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, + D => D(13), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), + R => '0' + ); +\m_payload_i_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, + D => D(1), + Q => st_mr_bmesg(4), + R => '0' + ); +\m_payload_i_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, + D => D(2), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), + R => '0' + ); +\m_payload_i_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, + D => D(3), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), + R => '0' + ); +\m_payload_i_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, + D => D(4), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), + R => '0' + ); +\m_payload_i_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, + D => D(5), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), + R => '0' + ); +\m_payload_i_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, + D => D(6), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), + R => '0' + ); +\m_payload_i_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, + D => D(7), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), + R => '0' + ); +\m_payload_i_reg[8]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, + D => D(8), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), + R => '0' + ); +\m_payload_i_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_slave_slots[0].gen_si_write.si_transactor_aw/gen_multi_thread.arbiter_resp_inst/chosen4\, + D => D(9), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), + R => '0' + ); +\m_valid_i_i_1__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAA3FFF" + ) + port map ( + I0 => m_axi_bvalid(0), + I1 => \^m_payload_i_reg[0]_0\, + I2 => chosen(0), + I3 => s_axi_bready(0), + I4 => \^m_axi_bready\(0), + O => \m_valid_i_i_1__0_n_0\ + ); +m_valid_i_reg: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \m_valid_i_i_1__0_n_0\, + Q => \^m_payload_i_reg[0]_0\, + R => \aresetn_d_reg[1]_0\ + ); +\s_axi_bresp[0]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0CCCFAAAFAAAFAAA" + ) + port map ( + I0 => \m_payload_i_reg[1]_0\(0), + I1 => st_mr_bmesg(3), + I2 => p_38_out, + I3 => chosen(1), + I4 => chosen(0), + I5 => \^m_payload_i_reg[0]_0\, + O => s_axi_bresp(0) + ); +\s_axi_bresp[1]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0FFFACCCACCCACCC" + ) + port map ( + I0 => st_mr_bmesg(4), + I1 => \m_payload_i_reg[1]_0\(1), + I2 => \^m_payload_i_reg[0]_0\, + I3 => chosen(0), + I4 => p_38_out, + I5 => chosen(1), + O => s_axi_bresp(1) + ); +\s_ready_i_i_1__3\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => p_0_in(1), + O => \^p_1_in\ + ); +\s_ready_i_i_2__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"B111FFFF" + ) + port map ( + I0 => \^m_payload_i_reg[0]_0\, + I1 => m_axi_bvalid(0), + I2 => chosen(0), + I3 => s_axi_bready(0), + I4 => \aresetn_d_reg[1]_1\, + O => \s_ready_i_i_2__0_n_0\ + ); +s_ready_i_reg: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \s_ready_i_i_2__0_n_0\, + Q => \^m_axi_bready\(0), + R => \^p_1_in\ + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1_7\ is + port ( + \m_payload_i_reg[0]_0\ : out STD_LOGIC; + m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_master_slots[0].w_issuing_cnt_reg[0]\ : out STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]\ : out STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); + \aresetn_d_reg[1]\ : in STD_LOGIC; + aclk : in STD_LOGIC; + p_1_in : in STD_LOGIC; + m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); + chosen : in STD_LOGIC_VECTOR ( 0 to 0 ); + \aresetn_d_reg[1]_0\ : in STD_LOGIC; + w_issuing_cnt : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \m_ready_d_reg[1]\ : in STD_LOGIC; + D : in STD_LOGIC_VECTOR ( 13 downto 0 ) + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1_7\ : entity is "axi_register_slice_v2_1_9_axic_register_slice"; +end \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1_7\; + +architecture STRUCTURE of \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1_7\ is + signal \^m_axi_bready\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC; + signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; + signal m_valid_i_i_2_n_0 : STD_LOGIC; + signal \s_ready_i_i_1__4_n_0\ : STD_LOGIC; + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_18\ : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of \s_ready_i_i_1__4\ : label is "soft_lutpair6"; +begin + m_axi_bready(0) <= \^m_axi_bready\(0); + \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; +\gen_master_slots[0].w_issuing_cnt[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"C0003FFF3FFF8000" + ) + port map ( + I0 => w_issuing_cnt(1), + I1 => s_axi_bready(0), + I2 => \^m_payload_i_reg[0]_0\, + I3 => chosen(0), + I4 => \m_ready_d_reg[1]\, + I5 => w_issuing_cnt(0), + O => \gen_master_slots[0].w_issuing_cnt_reg[0]\ + ); +\gen_no_arbiter.s_ready_i[0]_i_18\: unisim.vcomponents.LUT3 + generic map( + INIT => X"80" + ) + port map ( + I0 => s_axi_bready(0), + I1 => \^m_payload_i_reg[0]_0\, + I2 => chosen(0), + O => \gen_no_arbiter.m_target_hot_i_reg[2]\ + ); +\m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^m_payload_i_reg[0]_0\, + O => \m_payload_i[13]_i_1__1_n_0\ + ); +\m_payload_i_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \m_payload_i[13]_i_1__1_n_0\, + D => D(0), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), + R => '0' + ); +\m_payload_i_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \m_payload_i[13]_i_1__1_n_0\, + D => D(10), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), + R => '0' + ); +\m_payload_i_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \m_payload_i[13]_i_1__1_n_0\, + D => D(11), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), + R => '0' + ); +\m_payload_i_reg[12]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \m_payload_i[13]_i_1__1_n_0\, + D => D(12), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), + R => '0' + ); +\m_payload_i_reg[13]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \m_payload_i[13]_i_1__1_n_0\, + D => D(13), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13), + R => '0' + ); +\m_payload_i_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \m_payload_i[13]_i_1__1_n_0\, + D => D(1), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), + R => '0' + ); +\m_payload_i_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \m_payload_i[13]_i_1__1_n_0\, + D => D(2), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), + R => '0' + ); +\m_payload_i_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \m_payload_i[13]_i_1__1_n_0\, + D => D(3), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), + R => '0' + ); +\m_payload_i_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \m_payload_i[13]_i_1__1_n_0\, + D => D(4), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), + R => '0' + ); +\m_payload_i_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \m_payload_i[13]_i_1__1_n_0\, + D => D(5), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), + R => '0' + ); +\m_payload_i_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \m_payload_i[13]_i_1__1_n_0\, + D => D(6), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), + R => '0' + ); +\m_payload_i_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \m_payload_i[13]_i_1__1_n_0\, + D => D(7), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), + R => '0' + ); +\m_payload_i_reg[8]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \m_payload_i[13]_i_1__1_n_0\, + D => D(8), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), + R => '0' + ); +\m_payload_i_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \m_payload_i[13]_i_1__1_n_0\, + D => D(9), + Q => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), + R => '0' + ); +m_valid_i_i_2: unisim.vcomponents.LUT5 + generic map( + INIT => X"8BBBBBBB" + ) + port map ( + I0 => m_axi_bvalid(0), + I1 => \^m_axi_bready\(0), + I2 => chosen(0), + I3 => \^m_payload_i_reg[0]_0\, + I4 => s_axi_bready(0), + O => m_valid_i_i_2_n_0 + ); +m_valid_i_reg: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => m_valid_i_i_2_n_0, + Q => \^m_payload_i_reg[0]_0\, + R => \aresetn_d_reg[1]\ + ); +\s_ready_i_i_1__4\: unisim.vcomponents.LUT5 + generic map( + INIT => X"B111FFFF" + ) + port map ( + I0 => \^m_payload_i_reg[0]_0\, + I1 => m_axi_bvalid(0), + I2 => s_axi_bready(0), + I3 => chosen(0), + I4 => \aresetn_d_reg[1]_0\, + O => \s_ready_i_i_1__4_n_0\ + ); +s_ready_i_reg: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \s_ready_i_i_1__4_n_0\, + Q => \^m_axi_bready\(0), + R => p_1_in + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2\ is + port ( + m_valid_i_reg_0 : out STD_LOGIC; + \skid_buffer_reg[34]_0\ : out STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]\ : out STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); + \gen_master_slots[2].r_issuing_cnt_reg[16]\ : out STD_LOGIC; + \aresetn_d_reg[1]\ : in STD_LOGIC; + aclk : in STD_LOGIC; + p_1_in : in STD_LOGIC; + p_15_in : in STD_LOGIC; + s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); + chosen_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_axi.s_axi_rid_i_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + p_17_in : in STD_LOGIC; + \gen_no_arbiter.m_valid_i_reg\ : in STD_LOGIC; + p_11_in : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_9_axic_register_slice"; +end \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2\; + +architecture STRUCTURE of \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2\ is + signal \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : STD_LOGIC_VECTOR ( 12 downto 0 ); + signal m_valid_i0 : STD_LOGIC; + signal \^m_valid_i_reg_0\ : STD_LOGIC; + signal p_1_in_0 : STD_LOGIC; + signal s_ready_i0 : STD_LOGIC; + signal skid_buffer : STD_LOGIC_VECTOR ( 46 downto 34 ); + signal \^skid_buffer_reg[34]_0\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair58"; + attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair58"; + attribute SOFT_HLUTNM of \m_payload_i[37]_i_1__1\ : label is "soft_lutpair57"; + attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair57"; + attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair56"; + attribute SOFT_HLUTNM of \m_payload_i[40]_i_1__1\ : label is "soft_lutpair56"; + attribute SOFT_HLUTNM of \m_payload_i[41]_i_1__1\ : label is "soft_lutpair55"; + attribute SOFT_HLUTNM of \m_payload_i[42]_i_1__1\ : label is "soft_lutpair55"; + attribute SOFT_HLUTNM of \m_payload_i[43]_i_1__1\ : label is "soft_lutpair54"; + attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair54"; + attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair53"; + attribute SOFT_HLUTNM of \m_payload_i[46]_i_2__1\ : label is "soft_lutpair53"; +begin + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12 downto 0) <= \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12 downto 0); + m_valid_i_reg_0 <= \^m_valid_i_reg_0\; + \skid_buffer_reg[34]_0\ <= \^skid_buffer_reg[34]_0\; +\gen_master_slots[2].r_issuing_cnt[16]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"955555552AAAAAAA" + ) + port map ( + I0 => \gen_no_arbiter.m_valid_i_reg\, + I1 => s_axi_rready(0), + I2 => \^m_valid_i_reg_0\, + I3 => chosen_0(0), + I4 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), + I5 => p_11_in, + O => \gen_master_slots[2].r_issuing_cnt_reg[16]\ + ); +\gen_no_arbiter.s_ready_i[0]_i_11__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"8000" + ) + port map ( + I0 => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), + I1 => chosen_0(0), + I2 => \^m_valid_i_reg_0\, + I3 => s_axi_rready(0), + O => \gen_no_arbiter.m_target_hot_i_reg[2]\ + ); +\m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => p_17_in, + I1 => \^skid_buffer_reg[34]_0\, + I2 => \skid_buffer_reg_n_0_[34]\, + O => skid_buffer(34) + ); +\m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => \gen_axi.s_axi_rid_i_reg[11]\(0), + I1 => \^skid_buffer_reg[34]_0\, + I2 => \skid_buffer_reg_n_0_[35]\, + O => skid_buffer(35) + ); +\m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => \gen_axi.s_axi_rid_i_reg[11]\(1), + I1 => \^skid_buffer_reg[34]_0\, + I2 => \skid_buffer_reg_n_0_[36]\, + O => skid_buffer(36) + ); +\m_payload_i[37]_i_1__1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => \gen_axi.s_axi_rid_i_reg[11]\(2), + I1 => \^skid_buffer_reg[34]_0\, + I2 => \skid_buffer_reg_n_0_[37]\, + O => skid_buffer(37) + ); +\m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => \gen_axi.s_axi_rid_i_reg[11]\(3), + I1 => \^skid_buffer_reg[34]_0\, + I2 => \skid_buffer_reg_n_0_[38]\, + O => skid_buffer(38) + ); +\m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => \gen_axi.s_axi_rid_i_reg[11]\(4), + I1 => \^skid_buffer_reg[34]_0\, + I2 => \skid_buffer_reg_n_0_[39]\, + O => skid_buffer(39) + ); +\m_payload_i[40]_i_1__1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => \gen_axi.s_axi_rid_i_reg[11]\(5), + I1 => \^skid_buffer_reg[34]_0\, + I2 => \skid_buffer_reg_n_0_[40]\, + O => skid_buffer(40) + ); +\m_payload_i[41]_i_1__1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => \gen_axi.s_axi_rid_i_reg[11]\(6), + I1 => \^skid_buffer_reg[34]_0\, + I2 => \skid_buffer_reg_n_0_[41]\, + O => skid_buffer(41) + ); +\m_payload_i[42]_i_1__1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => \gen_axi.s_axi_rid_i_reg[11]\(7), + I1 => \^skid_buffer_reg[34]_0\, + I2 => \skid_buffer_reg_n_0_[42]\, + O => skid_buffer(42) + ); +\m_payload_i[43]_i_1__1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => \gen_axi.s_axi_rid_i_reg[11]\(8), + I1 => \^skid_buffer_reg[34]_0\, + I2 => \skid_buffer_reg_n_0_[43]\, + O => skid_buffer(43) + ); +\m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => \gen_axi.s_axi_rid_i_reg[11]\(9), + I1 => \^skid_buffer_reg[34]_0\, + I2 => \skid_buffer_reg_n_0_[44]\, + O => skid_buffer(44) + ); +\m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => \gen_axi.s_axi_rid_i_reg[11]\(10), + I1 => \^skid_buffer_reg[34]_0\, + I2 => \skid_buffer_reg_n_0_[45]\, + O => skid_buffer(45) + ); +\m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B3" + ) + port map ( + I0 => s_axi_rready(0), + I1 => \^m_valid_i_reg_0\, + I2 => chosen_0(0), + O => p_1_in_0 + ); +\m_payload_i[46]_i_2__1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => \gen_axi.s_axi_rid_i_reg[11]\(11), + I1 => \^skid_buffer_reg[34]_0\, + I2 => \skid_buffer_reg_n_0_[46]\, + O => skid_buffer(46) + ); +\m_payload_i_reg[34]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(34), + Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0), + R => '0' + ); +\m_payload_i_reg[35]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(35), + Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1), + R => '0' + ); +\m_payload_i_reg[36]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(36), + Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(2), + R => '0' + ); +\m_payload_i_reg[37]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(37), + Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(3), + R => '0' + ); +\m_payload_i_reg[38]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(38), + Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(4), + R => '0' + ); +\m_payload_i_reg[39]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(39), + Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(5), + R => '0' + ); +\m_payload_i_reg[40]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(40), + Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(6), + R => '0' + ); +\m_payload_i_reg[41]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(41), + Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(7), + R => '0' + ); +\m_payload_i_reg[42]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(42), + Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(8), + R => '0' + ); +\m_payload_i_reg[43]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(43), + Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(9), + R => '0' + ); +\m_payload_i_reg[44]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(44), + Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(10), + R => '0' + ); +\m_payload_i_reg[45]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(45), + Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11), + R => '0' + ); +\m_payload_i_reg[46]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(46), + Q => \^gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12), + R => '0' + ); +\m_valid_i_i_1__3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FF4CFFFF" + ) + port map ( + I0 => s_axi_rready(0), + I1 => \^m_valid_i_reg_0\, + I2 => chosen_0(0), + I3 => p_15_in, + I4 => \^skid_buffer_reg[34]_0\, + O => m_valid_i0 + ); +m_valid_i_reg: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => m_valid_i0, + Q => \^m_valid_i_reg_0\, + R => \aresetn_d_reg[1]\ + ); +\s_ready_i_i_1__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"F4FF44FF" + ) + port map ( + I0 => p_15_in, + I1 => \^skid_buffer_reg[34]_0\, + I2 => s_axi_rready(0), + I3 => \^m_valid_i_reg_0\, + I4 => chosen_0(0), + O => s_ready_i0 + ); +s_ready_i_reg: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => s_ready_i0, + Q => \^skid_buffer_reg[34]_0\, + R => p_1_in + ); +\skid_buffer_reg[34]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^skid_buffer_reg[34]_0\, + D => p_17_in, + Q => \skid_buffer_reg_n_0_[34]\, + R => '0' + ); +\skid_buffer_reg[35]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^skid_buffer_reg[34]_0\, + D => \gen_axi.s_axi_rid_i_reg[11]\(0), + Q => \skid_buffer_reg_n_0_[35]\, + R => '0' + ); +\skid_buffer_reg[36]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^skid_buffer_reg[34]_0\, + D => \gen_axi.s_axi_rid_i_reg[11]\(1), + Q => \skid_buffer_reg_n_0_[36]\, + R => '0' + ); +\skid_buffer_reg[37]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^skid_buffer_reg[34]_0\, + D => \gen_axi.s_axi_rid_i_reg[11]\(2), + Q => \skid_buffer_reg_n_0_[37]\, + R => '0' + ); +\skid_buffer_reg[38]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^skid_buffer_reg[34]_0\, + D => \gen_axi.s_axi_rid_i_reg[11]\(3), + Q => \skid_buffer_reg_n_0_[38]\, + R => '0' + ); +\skid_buffer_reg[39]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^skid_buffer_reg[34]_0\, + D => \gen_axi.s_axi_rid_i_reg[11]\(4), + Q => \skid_buffer_reg_n_0_[39]\, + R => '0' + ); +\skid_buffer_reg[40]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^skid_buffer_reg[34]_0\, + D => \gen_axi.s_axi_rid_i_reg[11]\(5), + Q => \skid_buffer_reg_n_0_[40]\, + R => '0' + ); +\skid_buffer_reg[41]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^skid_buffer_reg[34]_0\, + D => \gen_axi.s_axi_rid_i_reg[11]\(6), + Q => \skid_buffer_reg_n_0_[41]\, + R => '0' + ); +\skid_buffer_reg[42]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^skid_buffer_reg[34]_0\, + D => \gen_axi.s_axi_rid_i_reg[11]\(7), + Q => \skid_buffer_reg_n_0_[42]\, + R => '0' + ); +\skid_buffer_reg[43]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^skid_buffer_reg[34]_0\, + D => \gen_axi.s_axi_rid_i_reg[11]\(8), + Q => \skid_buffer_reg_n_0_[43]\, + R => '0' + ); +\skid_buffer_reg[44]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^skid_buffer_reg[34]_0\, + D => \gen_axi.s_axi_rid_i_reg[11]\(9), + Q => \skid_buffer_reg_n_0_[44]\, + R => '0' + ); +\skid_buffer_reg[45]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^skid_buffer_reg[34]_0\, + D => \gen_axi.s_axi_rid_i_reg[11]\(10), + Q => \skid_buffer_reg_n_0_[45]\, + R => '0' + ); +\skid_buffer_reg[46]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^skid_buffer_reg[34]_0\, + D => \gen_axi.s_axi_rid_i_reg[11]\(11), + Q => \skid_buffer_reg_n_0_[46]\, + R => '0' + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2_6\ is + port ( + \m_payload_i_reg[0]_0\ : out STD_LOGIC; + \m_axi_rready[1]\ : out STD_LOGIC; + s_axi_rresp : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 11 downto 0 ); + Q : out STD_LOGIC_VECTOR ( 33 downto 0 ); + \aresetn_d_reg[1]\ : in STD_LOGIC; + aclk : in STD_LOGIC; + p_1_in : in STD_LOGIC; + chosen_0 : in STD_LOGIC_VECTOR ( 1 downto 0 ); + p_32_out : in STD_LOGIC; + \m_payload_i_reg[32]_0\ : in STD_LOGIC_VECTOR ( 12 downto 0 ); + m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ) + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2_6\ : entity is "axi_register_slice_v2_1_9_axic_register_slice"; +end \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2_6\; + +architecture STRUCTURE of \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2_6\ is + signal \^m_axi_rready[1]\ : STD_LOGIC; + signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; + signal m_valid_i0 : STD_LOGIC; + signal p_1_in_0 : STD_LOGIC; + signal s_ready_i0 : STD_LOGIC; + signal skid_buffer : STD_LOGIC_VECTOR ( 46 downto 0 ); + signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; + signal st_mr_rmesg : STD_LOGIC_VECTOR ( 69 downto 35 ); + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair48"; + attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair47"; + attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair47"; + attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__3\ : label is "soft_lutpair46"; + attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair46"; + attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair45"; + attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair45"; + attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair44"; + attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair44"; + attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair43"; + attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair52"; + attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair43"; + attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair42"; + attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair41"; + attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair40"; + attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair39"; + attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair38"; + attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair37"; + attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair36"; + attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair35"; + attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair34"; + attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair52"; + attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair33"; + attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair32"; + attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair42"; + attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair41"; + attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair40"; + attribute SOFT_HLUTNM of \m_payload_i[37]_i_1__0\ : label is "soft_lutpair39"; + attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair38"; + attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair37"; + attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair51"; + attribute SOFT_HLUTNM of \m_payload_i[40]_i_1__0\ : label is "soft_lutpair36"; + attribute SOFT_HLUTNM of \m_payload_i[41]_i_1__0\ : label is "soft_lutpair35"; + attribute SOFT_HLUTNM of \m_payload_i[42]_i_1__0\ : label is "soft_lutpair34"; + attribute SOFT_HLUTNM of \m_payload_i[43]_i_1__0\ : label is "soft_lutpair33"; + attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair32"; + attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \m_payload_i[46]_i_2__0\ : label is "soft_lutpair30"; + attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair51"; + attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair50"; + attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair50"; + attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair49"; + attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair49"; + attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair48"; +begin + \m_axi_rready[1]\ <= \^m_axi_rready[1]\; + \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; +\m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(0), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[0]\, + O => skid_buffer(0) + ); +\m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(10), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[10]\, + O => skid_buffer(10) + ); +\m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(11), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[11]\, + O => skid_buffer(11) + ); +\m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(12), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[12]\, + O => skid_buffer(12) + ); +\m_payload_i[13]_i_1__3\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(13), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[13]\, + O => skid_buffer(13) + ); +\m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(14), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[14]\, + O => skid_buffer(14) + ); +\m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(15), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[15]\, + O => skid_buffer(15) + ); +\m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(16), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[16]\, + O => skid_buffer(16) + ); +\m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(17), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[17]\, + O => skid_buffer(17) + ); +\m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(18), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[18]\, + O => skid_buffer(18) + ); +\m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(19), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[19]\, + O => skid_buffer(19) + ); +\m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(1), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[1]\, + O => skid_buffer(1) + ); +\m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(20), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[20]\, + O => skid_buffer(20) + ); +\m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(21), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[21]\, + O => skid_buffer(21) + ); +\m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(22), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[22]\, + O => skid_buffer(22) + ); +\m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(23), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[23]\, + O => skid_buffer(23) + ); +\m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(24), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[24]\, + O => skid_buffer(24) + ); +\m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(25), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[25]\, + O => skid_buffer(25) + ); +\m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(26), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[26]\, + O => skid_buffer(26) + ); +\m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(27), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[27]\, + O => skid_buffer(27) + ); +\m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(28), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[28]\, + O => skid_buffer(28) + ); +\m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(29), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[29]\, + O => skid_buffer(29) + ); +\m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(2), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[2]\, + O => skid_buffer(2) + ); +\m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(30), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[30]\, + O => skid_buffer(30) + ); +\m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(31), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[31]\, + O => skid_buffer(31) + ); +\m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rresp(0), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[32]\, + O => skid_buffer(32) + ); +\m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rresp(1), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[33]\, + O => skid_buffer(33) + ); +\m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rlast(0), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[34]\, + O => skid_buffer(34) + ); +\m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(0), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[35]\, + O => skid_buffer(35) + ); +\m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(1), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[36]\, + O => skid_buffer(36) + ); +\m_payload_i[37]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(2), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[37]\, + O => skid_buffer(37) + ); +\m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(3), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[38]\, + O => skid_buffer(38) + ); +\m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(4), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[39]\, + O => skid_buffer(39) + ); +\m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(3), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[3]\, + O => skid_buffer(3) + ); +\m_payload_i[40]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(5), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[40]\, + O => skid_buffer(40) + ); +\m_payload_i[41]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(6), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[41]\, + O => skid_buffer(41) + ); +\m_payload_i[42]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(7), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[42]\, + O => skid_buffer(42) + ); +\m_payload_i[43]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(8), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[43]\, + O => skid_buffer(43) + ); +\m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(9), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[44]\, + O => skid_buffer(44) + ); +\m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(10), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[45]\, + O => skid_buffer(45) + ); +\m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"D5" + ) + port map ( + I0 => \^m_payload_i_reg[0]_0\, + I1 => s_axi_rready(0), + I2 => chosen_0(0), + O => p_1_in_0 + ); +\m_payload_i[46]_i_2__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(11), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[46]\, + O => skid_buffer(46) + ); +\m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(4), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[4]\, + O => skid_buffer(4) + ); +\m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(5), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[5]\, + O => skid_buffer(5) + ); +\m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(6), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[6]\, + O => skid_buffer(6) + ); +\m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(7), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[7]\, + O => skid_buffer(7) + ); +\m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(8), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[8]\, + O => skid_buffer(8) + ); +\m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(9), + I1 => \^m_axi_rready[1]\, + I2 => \skid_buffer_reg_n_0_[9]\, + O => skid_buffer(9) + ); +\m_payload_i_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(0), + Q => st_mr_rmesg(38), + R => '0' + ); +\m_payload_i_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(10), + Q => Q(6), + R => '0' + ); +\m_payload_i_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(11), + Q => Q(7), + R => '0' + ); +\m_payload_i_reg[12]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(12), + Q => st_mr_rmesg(50), + R => '0' + ); +\m_payload_i_reg[13]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(13), + Q => Q(8), + R => '0' + ); +\m_payload_i_reg[14]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(14), + Q => st_mr_rmesg(52), + R => '0' + ); +\m_payload_i_reg[15]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(15), + Q => st_mr_rmesg(53), + R => '0' + ); +\m_payload_i_reg[16]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(16), + Q => st_mr_rmesg(54), + R => '0' + ); +\m_payload_i_reg[17]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(17), + Q => Q(9), + R => '0' + ); +\m_payload_i_reg[18]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(18), + Q => st_mr_rmesg(56), + R => '0' + ); +\m_payload_i_reg[19]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(19), + Q => Q(10), + R => '0' + ); +\m_payload_i_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(1), + Q => Q(0), + R => '0' + ); +\m_payload_i_reg[20]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(20), + Q => Q(11), + R => '0' + ); +\m_payload_i_reg[21]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(21), + Q => Q(12), + R => '0' + ); +\m_payload_i_reg[22]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(22), + Q => Q(13), + R => '0' + ); +\m_payload_i_reg[23]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(23), + Q => Q(14), + R => '0' + ); +\m_payload_i_reg[24]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(24), + Q => st_mr_rmesg(62), + R => '0' + ); +\m_payload_i_reg[25]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(25), + Q => Q(15), + R => '0' + ); +\m_payload_i_reg[26]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(26), + Q => Q(16), + R => '0' + ); +\m_payload_i_reg[27]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(27), + Q => Q(17), + R => '0' + ); +\m_payload_i_reg[28]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(28), + Q => Q(18), + R => '0' + ); +\m_payload_i_reg[29]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(29), + Q => Q(19), + R => '0' + ); +\m_payload_i_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(2), + Q => st_mr_rmesg(40), + R => '0' + ); +\m_payload_i_reg[30]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(30), + Q => st_mr_rmesg(68), + R => '0' + ); +\m_payload_i_reg[31]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(31), + Q => st_mr_rmesg(69), + R => '0' + ); +\m_payload_i_reg[32]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(32), + Q => st_mr_rmesg(35), + R => '0' + ); +\m_payload_i_reg[33]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(33), + Q => Q(20), + R => '0' + ); +\m_payload_i_reg[34]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(34), + Q => Q(21), + R => '0' + ); +\m_payload_i_reg[35]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(35), + Q => Q(22), + R => '0' + ); +\m_payload_i_reg[36]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(36), + Q => Q(23), + R => '0' + ); +\m_payload_i_reg[37]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(37), + Q => Q(24), + R => '0' + ); +\m_payload_i_reg[38]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(38), + Q => Q(25), + R => '0' + ); +\m_payload_i_reg[39]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(39), + Q => Q(26), + R => '0' + ); +\m_payload_i_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(3), + Q => st_mr_rmesg(41), + R => '0' + ); +\m_payload_i_reg[40]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(40), + Q => Q(27), + R => '0' + ); +\m_payload_i_reg[41]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(41), + Q => Q(28), + R => '0' + ); +\m_payload_i_reg[42]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(42), + Q => Q(29), + R => '0' + ); +\m_payload_i_reg[43]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(43), + Q => Q(30), + R => '0' + ); +\m_payload_i_reg[44]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(44), + Q => Q(31), + R => '0' + ); +\m_payload_i_reg[45]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(45), + Q => Q(32), + R => '0' + ); +\m_payload_i_reg[46]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(46), + Q => Q(33), + R => '0' + ); +\m_payload_i_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(4), + Q => Q(1), + R => '0' + ); +\m_payload_i_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(5), + Q => Q(2), + R => '0' + ); +\m_payload_i_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(6), + Q => Q(3), + R => '0' + ); +\m_payload_i_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(7), + Q => st_mr_rmesg(45), + R => '0' + ); +\m_payload_i_reg[8]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(8), + Q => Q(4), + R => '0' + ); +\m_payload_i_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(9), + Q => Q(5), + R => '0' + ); +\m_valid_i_i_1__4\: unisim.vcomponents.LUT5 + generic map( + INIT => X"BFFFBBBB" + ) + port map ( + I0 => m_axi_rvalid(0), + I1 => \^m_axi_rready[1]\, + I2 => chosen_0(0), + I3 => s_axi_rready(0), + I4 => \^m_payload_i_reg[0]_0\, + O => m_valid_i0 + ); +m_valid_i_reg: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => m_valid_i0, + Q => \^m_payload_i_reg[0]_0\, + R => \aresetn_d_reg[1]\ + ); +\s_axi_rdata[0]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00BFBFBF00808080" + ) + port map ( + I0 => st_mr_rmesg(38), + I1 => \^m_payload_i_reg[0]_0\, + I2 => chosen_0(0), + I3 => p_32_out, + I4 => chosen_0(1), + I5 => \m_payload_i_reg[32]_0\(0), + O => s_axi_rdata(0) + ); +\s_axi_rdata[12]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00BFBFBF00808080" + ) + port map ( + I0 => st_mr_rmesg(50), + I1 => \^m_payload_i_reg[0]_0\, + I2 => chosen_0(0), + I3 => p_32_out, + I4 => chosen_0(1), + I5 => \m_payload_i_reg[32]_0\(4), + O => s_axi_rdata(4) + ); +\s_axi_rdata[14]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00BFBFBF00808080" + ) + port map ( + I0 => st_mr_rmesg(52), + I1 => \^m_payload_i_reg[0]_0\, + I2 => chosen_0(0), + I3 => p_32_out, + I4 => chosen_0(1), + I5 => \m_payload_i_reg[32]_0\(5), + O => s_axi_rdata(5) + ); +\s_axi_rdata[15]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00BFBFBF00808080" + ) + port map ( + I0 => st_mr_rmesg(53), + I1 => \^m_payload_i_reg[0]_0\, + I2 => chosen_0(0), + I3 => p_32_out, + I4 => chosen_0(1), + I5 => \m_payload_i_reg[32]_0\(6), + O => s_axi_rdata(6) + ); +\s_axi_rdata[16]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00BFBFBF00808080" + ) + port map ( + I0 => st_mr_rmesg(54), + I1 => \^m_payload_i_reg[0]_0\, + I2 => chosen_0(0), + I3 => p_32_out, + I4 => chosen_0(1), + I5 => \m_payload_i_reg[32]_0\(7), + O => s_axi_rdata(7) + ); +\s_axi_rdata[18]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00BFBFBF00808080" + ) + port map ( + I0 => st_mr_rmesg(56), + I1 => \^m_payload_i_reg[0]_0\, + I2 => chosen_0(0), + I3 => p_32_out, + I4 => chosen_0(1), + I5 => \m_payload_i_reg[32]_0\(8), + O => s_axi_rdata(8) + ); +\s_axi_rdata[24]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00BFBFBF00808080" + ) + port map ( + I0 => st_mr_rmesg(62), + I1 => \^m_payload_i_reg[0]_0\, + I2 => chosen_0(0), + I3 => p_32_out, + I4 => chosen_0(1), + I5 => \m_payload_i_reg[32]_0\(9), + O => s_axi_rdata(9) + ); +\s_axi_rdata[2]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00BFBFBF00808080" + ) + port map ( + I0 => st_mr_rmesg(40), + I1 => \^m_payload_i_reg[0]_0\, + I2 => chosen_0(0), + I3 => p_32_out, + I4 => chosen_0(1), + I5 => \m_payload_i_reg[32]_0\(1), + O => s_axi_rdata(1) + ); +\s_axi_rdata[30]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00BFBFBF00808080" + ) + port map ( + I0 => st_mr_rmesg(68), + I1 => \^m_payload_i_reg[0]_0\, + I2 => chosen_0(0), + I3 => p_32_out, + I4 => chosen_0(1), + I5 => \m_payload_i_reg[32]_0\(10), + O => s_axi_rdata(10) + ); +\s_axi_rdata[31]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00BFBFBF00808080" + ) + port map ( + I0 => st_mr_rmesg(69), + I1 => \^m_payload_i_reg[0]_0\, + I2 => chosen_0(0), + I3 => p_32_out, + I4 => chosen_0(1), + I5 => \m_payload_i_reg[32]_0\(11), + O => s_axi_rdata(11) + ); +\s_axi_rdata[3]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00BFBFBF00808080" + ) + port map ( + I0 => st_mr_rmesg(41), + I1 => \^m_payload_i_reg[0]_0\, + I2 => chosen_0(0), + I3 => p_32_out, + I4 => chosen_0(1), + I5 => \m_payload_i_reg[32]_0\(2), + O => s_axi_rdata(2) + ); +\s_axi_rdata[7]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00BFBFBF00808080" + ) + port map ( + I0 => st_mr_rmesg(45), + I1 => \^m_payload_i_reg[0]_0\, + I2 => chosen_0(0), + I3 => p_32_out, + I4 => chosen_0(1), + I5 => \m_payload_i_reg[32]_0\(3), + O => s_axi_rdata(3) + ); +\s_axi_rresp[0]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"3FBFBFBF3F808080" + ) + port map ( + I0 => st_mr_rmesg(35), + I1 => chosen_0(0), + I2 => \^m_payload_i_reg[0]_0\, + I3 => chosen_0(1), + I4 => p_32_out, + I5 => \m_payload_i_reg[32]_0\(12), + O => s_axi_rresp(0) + ); +\s_ready_i_i_1__1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"F444FFFF" + ) + port map ( + I0 => m_axi_rvalid(0), + I1 => \^m_axi_rready[1]\, + I2 => chosen_0(0), + I3 => s_axi_rready(0), + I4 => \^m_payload_i_reg[0]_0\, + O => s_ready_i0 + ); +s_ready_i_reg: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => s_ready_i0, + Q => \^m_axi_rready[1]\, + R => p_1_in + ); +\skid_buffer_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(0), + Q => \skid_buffer_reg_n_0_[0]\, + R => '0' + ); +\skid_buffer_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(10), + Q => \skid_buffer_reg_n_0_[10]\, + R => '0' + ); +\skid_buffer_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(11), + Q => \skid_buffer_reg_n_0_[11]\, + R => '0' + ); +\skid_buffer_reg[12]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(12), + Q => \skid_buffer_reg_n_0_[12]\, + R => '0' + ); +\skid_buffer_reg[13]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(13), + Q => \skid_buffer_reg_n_0_[13]\, + R => '0' + ); +\skid_buffer_reg[14]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(14), + Q => \skid_buffer_reg_n_0_[14]\, + R => '0' + ); +\skid_buffer_reg[15]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(15), + Q => \skid_buffer_reg_n_0_[15]\, + R => '0' + ); +\skid_buffer_reg[16]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(16), + Q => \skid_buffer_reg_n_0_[16]\, + R => '0' + ); +\skid_buffer_reg[17]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(17), + Q => \skid_buffer_reg_n_0_[17]\, + R => '0' + ); +\skid_buffer_reg[18]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(18), + Q => \skid_buffer_reg_n_0_[18]\, + R => '0' + ); +\skid_buffer_reg[19]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(19), + Q => \skid_buffer_reg_n_0_[19]\, + R => '0' + ); +\skid_buffer_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(1), + Q => \skid_buffer_reg_n_0_[1]\, + R => '0' + ); +\skid_buffer_reg[20]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(20), + Q => \skid_buffer_reg_n_0_[20]\, + R => '0' + ); +\skid_buffer_reg[21]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(21), + Q => \skid_buffer_reg_n_0_[21]\, + R => '0' + ); +\skid_buffer_reg[22]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(22), + Q => \skid_buffer_reg_n_0_[22]\, + R => '0' + ); +\skid_buffer_reg[23]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(23), + Q => \skid_buffer_reg_n_0_[23]\, + R => '0' + ); +\skid_buffer_reg[24]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(24), + Q => \skid_buffer_reg_n_0_[24]\, + R => '0' + ); +\skid_buffer_reg[25]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(25), + Q => \skid_buffer_reg_n_0_[25]\, + R => '0' + ); +\skid_buffer_reg[26]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(26), + Q => \skid_buffer_reg_n_0_[26]\, + R => '0' + ); +\skid_buffer_reg[27]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(27), + Q => \skid_buffer_reg_n_0_[27]\, + R => '0' + ); +\skid_buffer_reg[28]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(28), + Q => \skid_buffer_reg_n_0_[28]\, + R => '0' + ); +\skid_buffer_reg[29]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(29), + Q => \skid_buffer_reg_n_0_[29]\, + R => '0' + ); +\skid_buffer_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(2), + Q => \skid_buffer_reg_n_0_[2]\, + R => '0' + ); +\skid_buffer_reg[30]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(30), + Q => \skid_buffer_reg_n_0_[30]\, + R => '0' + ); +\skid_buffer_reg[31]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(31), + Q => \skid_buffer_reg_n_0_[31]\, + R => '0' + ); +\skid_buffer_reg[32]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rresp(0), + Q => \skid_buffer_reg_n_0_[32]\, + R => '0' + ); +\skid_buffer_reg[33]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rresp(1), + Q => \skid_buffer_reg_n_0_[33]\, + R => '0' + ); +\skid_buffer_reg[34]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rlast(0), + Q => \skid_buffer_reg_n_0_[34]\, + R => '0' + ); +\skid_buffer_reg[35]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rid(0), + Q => \skid_buffer_reg_n_0_[35]\, + R => '0' + ); +\skid_buffer_reg[36]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rid(1), + Q => \skid_buffer_reg_n_0_[36]\, + R => '0' + ); +\skid_buffer_reg[37]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rid(2), + Q => \skid_buffer_reg_n_0_[37]\, + R => '0' + ); +\skid_buffer_reg[38]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rid(3), + Q => \skid_buffer_reg_n_0_[38]\, + R => '0' + ); +\skid_buffer_reg[39]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rid(4), + Q => \skid_buffer_reg_n_0_[39]\, + R => '0' + ); +\skid_buffer_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(3), + Q => \skid_buffer_reg_n_0_[3]\, + R => '0' + ); +\skid_buffer_reg[40]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rid(5), + Q => \skid_buffer_reg_n_0_[40]\, + R => '0' + ); +\skid_buffer_reg[41]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rid(6), + Q => \skid_buffer_reg_n_0_[41]\, + R => '0' + ); +\skid_buffer_reg[42]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rid(7), + Q => \skid_buffer_reg_n_0_[42]\, + R => '0' + ); +\skid_buffer_reg[43]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rid(8), + Q => \skid_buffer_reg_n_0_[43]\, + R => '0' + ); +\skid_buffer_reg[44]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rid(9), + Q => \skid_buffer_reg_n_0_[44]\, + R => '0' + ); +\skid_buffer_reg[45]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rid(10), + Q => \skid_buffer_reg_n_0_[45]\, + R => '0' + ); +\skid_buffer_reg[46]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rid(11), + Q => \skid_buffer_reg_n_0_[46]\, + R => '0' + ); +\skid_buffer_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(4), + Q => \skid_buffer_reg_n_0_[4]\, + R => '0' + ); +\skid_buffer_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(5), + Q => \skid_buffer_reg_n_0_[5]\, + R => '0' + ); +\skid_buffer_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(6), + Q => \skid_buffer_reg_n_0_[6]\, + R => '0' + ); +\skid_buffer_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(7), + Q => \skid_buffer_reg_n_0_[7]\, + R => '0' + ); +\skid_buffer_reg[8]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(8), + Q => \skid_buffer_reg_n_0_[8]\, + R => '0' + ); +\skid_buffer_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[1]\, + D => m_axi_rdata(9), + Q => \skid_buffer_reg_n_0_[9]\, + R => '0' + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2_8\ is + port ( + m_valid_i_reg_0 : out STD_LOGIC; + \m_axi_rready[0]\ : out STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]\ : out STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 46 downto 0 ); + \gen_master_slots[0].r_issuing_cnt_reg[1]\ : out STD_LOGIC; + \aresetn_d_reg[1]\ : in STD_LOGIC; + aclk : in STD_LOGIC; + p_1_in : in STD_LOGIC; + m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + chosen_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); + r_issuing_cnt : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ) + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2_8\ : entity is "axi_register_slice_v2_1_9_axic_register_slice"; +end \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2_8\; + +architecture STRUCTURE of \system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2_8\ is + signal \^q\ : STD_LOGIC_VECTOR ( 46 downto 0 ); + signal \^m_axi_rready[0]\ : STD_LOGIC; + signal m_valid_i0 : STD_LOGIC; + signal \^m_valid_i_reg_0\ : STD_LOGIC; + signal p_1_in_0 : STD_LOGIC; + signal s_ready_i0 : STD_LOGIC; + signal skid_buffer : STD_LOGIC_VECTOR ( 46 downto 0 ); + signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; + signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair22"; + attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair22"; + attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair20"; + attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair20"; + attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \m_payload_i[31]_i_1\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair10"; + attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair10"; + attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair9"; + attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair9"; + attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair25"; +begin + Q(46 downto 0) <= \^q\(46 downto 0); + \m_axi_rready[0]\ <= \^m_axi_rready[0]\; + m_valid_i_reg_0 <= \^m_valid_i_reg_0\; +\gen_master_slots[0].r_issuing_cnt[1]_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"8000" + ) + port map ( + I0 => \^q\(34), + I1 => s_axi_rready(0), + I2 => chosen_0(0), + I3 => \^m_valid_i_reg_0\, + O => \gen_master_slots[0].r_issuing_cnt_reg[1]\ + ); +\gen_no_arbiter.s_ready_i[0]_i_10__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0444444444444444" + ) + port map ( + I0 => r_issuing_cnt(0), + I1 => r_issuing_cnt(1), + I2 => \^m_valid_i_reg_0\, + I3 => chosen_0(0), + I4 => s_axi_rready(0), + I5 => \^q\(34), + O => \gen_no_arbiter.m_target_hot_i_reg[2]\ + ); +\m_payload_i[0]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(0), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[0]\, + O => skid_buffer(0) + ); +\m_payload_i[10]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(10), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[10]\, + O => skid_buffer(10) + ); +\m_payload_i[11]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(11), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[11]\, + O => skid_buffer(11) + ); +\m_payload_i[12]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(12), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[12]\, + O => skid_buffer(12) + ); +\m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(13), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[13]\, + O => skid_buffer(13) + ); +\m_payload_i[14]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(14), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[14]\, + O => skid_buffer(14) + ); +\m_payload_i[15]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(15), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[15]\, + O => skid_buffer(15) + ); +\m_payload_i[16]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(16), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[16]\, + O => skid_buffer(16) + ); +\m_payload_i[17]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(17), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[17]\, + O => skid_buffer(17) + ); +\m_payload_i[18]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(18), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[18]\, + O => skid_buffer(18) + ); +\m_payload_i[19]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(19), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[19]\, + O => skid_buffer(19) + ); +\m_payload_i[1]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(1), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[1]\, + O => skid_buffer(1) + ); +\m_payload_i[20]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(20), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[20]\, + O => skid_buffer(20) + ); +\m_payload_i[21]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(21), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[21]\, + O => skid_buffer(21) + ); +\m_payload_i[22]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(22), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[22]\, + O => skid_buffer(22) + ); +\m_payload_i[23]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(23), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[23]\, + O => skid_buffer(23) + ); +\m_payload_i[24]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(24), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[24]\, + O => skid_buffer(24) + ); +\m_payload_i[25]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(25), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[25]\, + O => skid_buffer(25) + ); +\m_payload_i[26]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(26), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[26]\, + O => skid_buffer(26) + ); +\m_payload_i[27]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(27), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[27]\, + O => skid_buffer(27) + ); +\m_payload_i[28]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(28), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[28]\, + O => skid_buffer(28) + ); +\m_payload_i[29]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(29), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[29]\, + O => skid_buffer(29) + ); +\m_payload_i[2]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(2), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[2]\, + O => skid_buffer(2) + ); +\m_payload_i[30]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(30), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[30]\, + O => skid_buffer(30) + ); +\m_payload_i[31]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(31), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[31]\, + O => skid_buffer(31) + ); +\m_payload_i[32]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rresp(0), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[32]\, + O => skid_buffer(32) + ); +\m_payload_i[33]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rresp(1), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[33]\, + O => skid_buffer(33) + ); +\m_payload_i[34]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rlast(0), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[34]\, + O => skid_buffer(34) + ); +\m_payload_i[35]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(0), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[35]\, + O => skid_buffer(35) + ); +\m_payload_i[36]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(1), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[36]\, + O => skid_buffer(36) + ); +\m_payload_i[37]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(2), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[37]\, + O => skid_buffer(37) + ); +\m_payload_i[38]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(3), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[38]\, + O => skid_buffer(38) + ); +\m_payload_i[39]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(4), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[39]\, + O => skid_buffer(39) + ); +\m_payload_i[3]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(3), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[3]\, + O => skid_buffer(3) + ); +\m_payload_i[40]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(5), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[40]\, + O => skid_buffer(40) + ); +\m_payload_i[41]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(6), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[41]\, + O => skid_buffer(41) + ); +\m_payload_i[42]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(7), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[42]\, + O => skid_buffer(42) + ); +\m_payload_i[43]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(8), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[43]\, + O => skid_buffer(43) + ); +\m_payload_i[44]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(9), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[44]\, + O => skid_buffer(44) + ); +\m_payload_i[45]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(10), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[45]\, + O => skid_buffer(45) + ); +\m_payload_i[46]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"D5" + ) + port map ( + I0 => \^m_valid_i_reg_0\, + I1 => chosen_0(0), + I2 => s_axi_rready(0), + O => p_1_in_0 + ); +\m_payload_i[46]_i_2\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rid(11), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[46]\, + O => skid_buffer(46) + ); +\m_payload_i[4]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(4), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[4]\, + O => skid_buffer(4) + ); +\m_payload_i[5]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(5), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[5]\, + O => skid_buffer(5) + ); +\m_payload_i[6]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(6), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[6]\, + O => skid_buffer(6) + ); +\m_payload_i[7]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(7), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[7]\, + O => skid_buffer(7) + ); +\m_payload_i[8]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(8), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[8]\, + O => skid_buffer(8) + ); +\m_payload_i[9]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"B8" + ) + port map ( + I0 => m_axi_rdata(9), + I1 => \^m_axi_rready[0]\, + I2 => \skid_buffer_reg_n_0_[9]\, + O => skid_buffer(9) + ); +\m_payload_i_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(0), + Q => \^q\(0), + R => '0' + ); +\m_payload_i_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(10), + Q => \^q\(10), + R => '0' + ); +\m_payload_i_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(11), + Q => \^q\(11), + R => '0' + ); +\m_payload_i_reg[12]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(12), + Q => \^q\(12), + R => '0' + ); +\m_payload_i_reg[13]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(13), + Q => \^q\(13), + R => '0' + ); +\m_payload_i_reg[14]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(14), + Q => \^q\(14), + R => '0' + ); +\m_payload_i_reg[15]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(15), + Q => \^q\(15), + R => '0' + ); +\m_payload_i_reg[16]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(16), + Q => \^q\(16), + R => '0' + ); +\m_payload_i_reg[17]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(17), + Q => \^q\(17), + R => '0' + ); +\m_payload_i_reg[18]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(18), + Q => \^q\(18), + R => '0' + ); +\m_payload_i_reg[19]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(19), + Q => \^q\(19), + R => '0' + ); +\m_payload_i_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(1), + Q => \^q\(1), + R => '0' + ); +\m_payload_i_reg[20]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(20), + Q => \^q\(20), + R => '0' + ); +\m_payload_i_reg[21]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(21), + Q => \^q\(21), + R => '0' + ); +\m_payload_i_reg[22]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(22), + Q => \^q\(22), + R => '0' + ); +\m_payload_i_reg[23]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(23), + Q => \^q\(23), + R => '0' + ); +\m_payload_i_reg[24]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(24), + Q => \^q\(24), + R => '0' + ); +\m_payload_i_reg[25]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(25), + Q => \^q\(25), + R => '0' + ); +\m_payload_i_reg[26]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(26), + Q => \^q\(26), + R => '0' + ); +\m_payload_i_reg[27]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(27), + Q => \^q\(27), + R => '0' + ); +\m_payload_i_reg[28]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(28), + Q => \^q\(28), + R => '0' + ); +\m_payload_i_reg[29]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(29), + Q => \^q\(29), + R => '0' + ); +\m_payload_i_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(2), + Q => \^q\(2), + R => '0' + ); +\m_payload_i_reg[30]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(30), + Q => \^q\(30), + R => '0' + ); +\m_payload_i_reg[31]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(31), + Q => \^q\(31), + R => '0' + ); +\m_payload_i_reg[32]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(32), + Q => \^q\(32), + R => '0' + ); +\m_payload_i_reg[33]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(33), + Q => \^q\(33), + R => '0' + ); +\m_payload_i_reg[34]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(34), + Q => \^q\(34), + R => '0' + ); +\m_payload_i_reg[35]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(35), + Q => \^q\(35), + R => '0' + ); +\m_payload_i_reg[36]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(36), + Q => \^q\(36), + R => '0' + ); +\m_payload_i_reg[37]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(37), + Q => \^q\(37), + R => '0' + ); +\m_payload_i_reg[38]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(38), + Q => \^q\(38), + R => '0' + ); +\m_payload_i_reg[39]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(39), + Q => \^q\(39), + R => '0' + ); +\m_payload_i_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(3), + Q => \^q\(3), + R => '0' + ); +\m_payload_i_reg[40]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(40), + Q => \^q\(40), + R => '0' + ); +\m_payload_i_reg[41]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(41), + Q => \^q\(41), + R => '0' + ); +\m_payload_i_reg[42]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(42), + Q => \^q\(42), + R => '0' + ); +\m_payload_i_reg[43]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(43), + Q => \^q\(43), + R => '0' + ); +\m_payload_i_reg[44]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(44), + Q => \^q\(44), + R => '0' + ); +\m_payload_i_reg[45]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(45), + Q => \^q\(45), + R => '0' + ); +\m_payload_i_reg[46]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(46), + Q => \^q\(46), + R => '0' + ); +\m_payload_i_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(4), + Q => \^q\(4), + R => '0' + ); +\m_payload_i_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(5), + Q => \^q\(5), + R => '0' + ); +\m_payload_i_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(6), + Q => \^q\(6), + R => '0' + ); +\m_payload_i_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(7), + Q => \^q\(7), + R => '0' + ); +\m_payload_i_reg[8]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(8), + Q => \^q\(8), + R => '0' + ); +\m_payload_i_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => p_1_in_0, + D => skid_buffer(9), + Q => \^q\(9), + R => '0' + ); +\m_valid_i_i_1__2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FF2AFFFF" + ) + port map ( + I0 => \^m_valid_i_reg_0\, + I1 => chosen_0(0), + I2 => s_axi_rready(0), + I3 => m_axi_rvalid(0), + I4 => \^m_axi_rready[0]\, + O => m_valid_i0 + ); +m_valid_i_reg: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => m_valid_i0, + Q => \^m_valid_i_reg_0\, + R => \aresetn_d_reg[1]\ + ); +s_ready_i_i_1: unisim.vcomponents.LUT5 + generic map( + INIT => X"FF4F4F4F" + ) + port map ( + I0 => m_axi_rvalid(0), + I1 => \^m_axi_rready[0]\, + I2 => \^m_valid_i_reg_0\, + I3 => chosen_0(0), + I4 => s_axi_rready(0), + O => s_ready_i0 + ); +s_ready_i_reg: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => s_ready_i0, + Q => \^m_axi_rready[0]\, + R => p_1_in + ); +\skid_buffer_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(0), + Q => \skid_buffer_reg_n_0_[0]\, + R => '0' + ); +\skid_buffer_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(10), + Q => \skid_buffer_reg_n_0_[10]\, + R => '0' + ); +\skid_buffer_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(11), + Q => \skid_buffer_reg_n_0_[11]\, + R => '0' + ); +\skid_buffer_reg[12]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(12), + Q => \skid_buffer_reg_n_0_[12]\, + R => '0' + ); +\skid_buffer_reg[13]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(13), + Q => \skid_buffer_reg_n_0_[13]\, + R => '0' + ); +\skid_buffer_reg[14]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(14), + Q => \skid_buffer_reg_n_0_[14]\, + R => '0' + ); +\skid_buffer_reg[15]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(15), + Q => \skid_buffer_reg_n_0_[15]\, + R => '0' + ); +\skid_buffer_reg[16]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(16), + Q => \skid_buffer_reg_n_0_[16]\, + R => '0' + ); +\skid_buffer_reg[17]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(17), + Q => \skid_buffer_reg_n_0_[17]\, + R => '0' + ); +\skid_buffer_reg[18]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(18), + Q => \skid_buffer_reg_n_0_[18]\, + R => '0' + ); +\skid_buffer_reg[19]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(19), + Q => \skid_buffer_reg_n_0_[19]\, + R => '0' + ); +\skid_buffer_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(1), + Q => \skid_buffer_reg_n_0_[1]\, + R => '0' + ); +\skid_buffer_reg[20]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(20), + Q => \skid_buffer_reg_n_0_[20]\, + R => '0' + ); +\skid_buffer_reg[21]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(21), + Q => \skid_buffer_reg_n_0_[21]\, + R => '0' + ); +\skid_buffer_reg[22]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(22), + Q => \skid_buffer_reg_n_0_[22]\, + R => '0' + ); +\skid_buffer_reg[23]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(23), + Q => \skid_buffer_reg_n_0_[23]\, + R => '0' + ); +\skid_buffer_reg[24]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(24), + Q => \skid_buffer_reg_n_0_[24]\, + R => '0' + ); +\skid_buffer_reg[25]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(25), + Q => \skid_buffer_reg_n_0_[25]\, + R => '0' + ); +\skid_buffer_reg[26]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(26), + Q => \skid_buffer_reg_n_0_[26]\, + R => '0' + ); +\skid_buffer_reg[27]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(27), + Q => \skid_buffer_reg_n_0_[27]\, + R => '0' + ); +\skid_buffer_reg[28]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(28), + Q => \skid_buffer_reg_n_0_[28]\, + R => '0' + ); +\skid_buffer_reg[29]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(29), + Q => \skid_buffer_reg_n_0_[29]\, + R => '0' + ); +\skid_buffer_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(2), + Q => \skid_buffer_reg_n_0_[2]\, + R => '0' + ); +\skid_buffer_reg[30]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(30), + Q => \skid_buffer_reg_n_0_[30]\, + R => '0' + ); +\skid_buffer_reg[31]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(31), + Q => \skid_buffer_reg_n_0_[31]\, + R => '0' + ); +\skid_buffer_reg[32]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rresp(0), + Q => \skid_buffer_reg_n_0_[32]\, + R => '0' + ); +\skid_buffer_reg[33]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rresp(1), + Q => \skid_buffer_reg_n_0_[33]\, + R => '0' + ); +\skid_buffer_reg[34]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rlast(0), + Q => \skid_buffer_reg_n_0_[34]\, + R => '0' + ); +\skid_buffer_reg[35]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rid(0), + Q => \skid_buffer_reg_n_0_[35]\, + R => '0' + ); +\skid_buffer_reg[36]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rid(1), + Q => \skid_buffer_reg_n_0_[36]\, + R => '0' + ); +\skid_buffer_reg[37]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rid(2), + Q => \skid_buffer_reg_n_0_[37]\, + R => '0' + ); +\skid_buffer_reg[38]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rid(3), + Q => \skid_buffer_reg_n_0_[38]\, + R => '0' + ); +\skid_buffer_reg[39]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rid(4), + Q => \skid_buffer_reg_n_0_[39]\, + R => '0' + ); +\skid_buffer_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(3), + Q => \skid_buffer_reg_n_0_[3]\, + R => '0' + ); +\skid_buffer_reg[40]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rid(5), + Q => \skid_buffer_reg_n_0_[40]\, + R => '0' + ); +\skid_buffer_reg[41]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rid(6), + Q => \skid_buffer_reg_n_0_[41]\, + R => '0' + ); +\skid_buffer_reg[42]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rid(7), + Q => \skid_buffer_reg_n_0_[42]\, + R => '0' + ); +\skid_buffer_reg[43]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rid(8), + Q => \skid_buffer_reg_n_0_[43]\, + R => '0' + ); +\skid_buffer_reg[44]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rid(9), + Q => \skid_buffer_reg_n_0_[44]\, + R => '0' + ); +\skid_buffer_reg[45]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rid(10), + Q => \skid_buffer_reg_n_0_[45]\, + R => '0' + ); +\skid_buffer_reg[46]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rid(11), + Q => \skid_buffer_reg_n_0_[46]\, + R => '0' + ); +\skid_buffer_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(4), + Q => \skid_buffer_reg_n_0_[4]\, + R => '0' + ); +\skid_buffer_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(5), + Q => \skid_buffer_reg_n_0_[5]\, + R => '0' + ); +\skid_buffer_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(6), + Q => \skid_buffer_reg_n_0_[6]\, + R => '0' + ); +\skid_buffer_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(7), + Q => \skid_buffer_reg_n_0_[7]\, + R => '0' + ); +\skid_buffer_reg[8]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(8), + Q => \skid_buffer_reg_n_0_[8]\, + R => '0' + ); +\skid_buffer_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \^m_axi_rready[0]\, + D => m_axi_rdata(9), + Q => \skid_buffer_reg_n_0_[9]\, + R => '0' + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_xbar_1_axi_crossbar_v2_1_10_si_transactor is + port ( + \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; + m_valid_i : out STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]\ : out STD_LOGIC; + s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); + chosen : out STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rresp : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 19 downto 0 ); + SR : in STD_LOGIC_VECTOR ( 0 to 0 ); + aclk : in STD_LOGIC; + aresetn_d : in STD_LOGIC; + match : in STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + aa_mi_arvalid : in STD_LOGIC; + S_AXI_ARREADY : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_master_slots[0].r_issuing_cnt_reg[0]\ : in STD_LOGIC; + p_74_out : in STD_LOGIC; + s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); + p_32_out : in STD_LOGIC; + p_54_out : in STD_LOGIC; + p_11_in : in STD_LOGIC; + \m_payload_i_reg[34]\ : in STD_LOGIC; + st_mr_rmesg : in STD_LOGIC_VECTOR ( 41 downto 0 ); + st_mr_rid : in STD_LOGIC_VECTOR ( 35 downto 0 ); + \m_payload_i_reg[34]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + Q : in STD_LOGIC_VECTOR ( 0 to 0 ); + \m_payload_i_reg[34]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ) + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_xbar_1_axi_crossbar_v2_1_10_si_transactor : entity is "axi_crossbar_v2_1_10_si_transactor"; +end system_design_xbar_1_axi_crossbar_v2_1_10_si_transactor; + +architecture STRUCTURE of system_design_xbar_1_axi_crossbar_v2_1_10_si_transactor is + signal active_cnt : STD_LOGIC_VECTOR ( 59 downto 0 ); + signal active_target : STD_LOGIC_VECTOR ( 57 downto 1 ); + signal aid_match_00 : STD_LOGIC; + signal aid_match_00_carry_i_1_n_0 : STD_LOGIC; + signal aid_match_00_carry_i_2_n_0 : STD_LOGIC; + signal aid_match_00_carry_i_3_n_0 : STD_LOGIC; + signal aid_match_00_carry_i_4_n_0 : STD_LOGIC; + signal aid_match_00_carry_n_1 : STD_LOGIC; + signal aid_match_00_carry_n_2 : STD_LOGIC; + signal aid_match_00_carry_n_3 : STD_LOGIC; + signal aid_match_10 : STD_LOGIC; + signal aid_match_10_carry_i_1_n_0 : STD_LOGIC; + signal aid_match_10_carry_i_2_n_0 : STD_LOGIC; + signal aid_match_10_carry_i_3_n_0 : STD_LOGIC; + signal aid_match_10_carry_i_4_n_0 : STD_LOGIC; + signal aid_match_10_carry_n_1 : STD_LOGIC; + signal aid_match_10_carry_n_2 : STD_LOGIC; + signal aid_match_10_carry_n_3 : STD_LOGIC; + signal aid_match_20 : STD_LOGIC; + signal aid_match_20_carry_i_1_n_0 : STD_LOGIC; + signal aid_match_20_carry_i_2_n_0 : STD_LOGIC; + signal aid_match_20_carry_i_3_n_0 : STD_LOGIC; + signal aid_match_20_carry_i_4_n_0 : STD_LOGIC; + signal aid_match_20_carry_n_1 : STD_LOGIC; + signal aid_match_20_carry_n_2 : STD_LOGIC; + signal aid_match_20_carry_n_3 : STD_LOGIC; + signal aid_match_30 : STD_LOGIC; + signal aid_match_30_carry_i_1_n_0 : STD_LOGIC; + signal aid_match_30_carry_i_2_n_0 : STD_LOGIC; + signal aid_match_30_carry_i_3_n_0 : STD_LOGIC; + signal aid_match_30_carry_i_4_n_0 : STD_LOGIC; + signal aid_match_30_carry_n_1 : STD_LOGIC; + signal aid_match_30_carry_n_2 : STD_LOGIC; + signal aid_match_30_carry_n_3 : STD_LOGIC; + signal aid_match_40 : STD_LOGIC; + signal aid_match_40_carry_i_1_n_0 : STD_LOGIC; + signal aid_match_40_carry_i_2_n_0 : STD_LOGIC; + signal aid_match_40_carry_i_3_n_0 : STD_LOGIC; + signal aid_match_40_carry_i_4_n_0 : STD_LOGIC; + signal aid_match_40_carry_n_1 : STD_LOGIC; + signal aid_match_40_carry_n_2 : STD_LOGIC; + signal aid_match_40_carry_n_3 : STD_LOGIC; + signal aid_match_50 : STD_LOGIC; + signal aid_match_50_carry_i_1_n_0 : STD_LOGIC; + signal aid_match_50_carry_i_2_n_0 : STD_LOGIC; + signal aid_match_50_carry_i_3_n_0 : STD_LOGIC; + signal aid_match_50_carry_i_4_n_0 : STD_LOGIC; + signal aid_match_50_carry_n_1 : STD_LOGIC; + signal aid_match_50_carry_n_2 : STD_LOGIC; + signal aid_match_50_carry_n_3 : STD_LOGIC; + signal aid_match_60 : STD_LOGIC; + signal aid_match_60_carry_i_1_n_0 : STD_LOGIC; + signal aid_match_60_carry_i_2_n_0 : STD_LOGIC; + signal aid_match_60_carry_i_3_n_0 : STD_LOGIC; + signal aid_match_60_carry_i_4_n_0 : STD_LOGIC; + signal aid_match_60_carry_n_1 : STD_LOGIC; + signal aid_match_60_carry_n_2 : STD_LOGIC; + signal aid_match_60_carry_n_3 : STD_LOGIC; + signal aid_match_70 : STD_LOGIC; + signal aid_match_70_carry_i_1_n_0 : STD_LOGIC; + signal aid_match_70_carry_i_2_n_0 : STD_LOGIC; + signal aid_match_70_carry_i_3_n_0 : STD_LOGIC; + signal aid_match_70_carry_i_4_n_0 : STD_LOGIC; + signal aid_match_70_carry_n_1 : STD_LOGIC; + signal aid_match_70_carry_n_2 : STD_LOGIC; + signal aid_match_70_carry_n_3 : STD_LOGIC; + signal cmd_push_0 : STD_LOGIC; + signal cmd_push_1 : STD_LOGIC; + signal cmd_push_2 : STD_LOGIC; + signal cmd_push_3 : STD_LOGIC; + signal cmd_push_4 : STD_LOGIC; + signal cmd_push_5 : STD_LOGIC; + signal cmd_push_6 : STD_LOGIC; + signal cmd_push_7 : STD_LOGIC; + signal \gen_multi_thread.accept_cnt[0]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.accept_cnt_reg__0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \gen_multi_thread.arbiter_resp_inst_n_10\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_11\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_12\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_13\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_14\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_21\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_22\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_23\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_24\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_25\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_26\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_27\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_28\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_29\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_3\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_30\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_31\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_32\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_33\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_34\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_35\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_36\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_37\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_38\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_39\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_4\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_40\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_41\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_42\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_43\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_44\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_45\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_46\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_47\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_48\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_49\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_5\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_50\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_51\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_52\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_6\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_7\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_8\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_9\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_13__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9__0_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_12__0_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_13__0_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_14__0_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_15__0_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_16__0_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_3__0_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_4__0_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_5__0_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_6__0_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_8__0_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_9__0_n_0\ : STD_LOGIC; + signal p_0_out : STD_LOGIC; + signal \p_0_out_inferred__9_carry_n_1\ : STD_LOGIC; + signal \p_0_out_inferred__9_carry_n_2\ : STD_LOGIC; + signal \p_0_out_inferred__9_carry_n_3\ : STD_LOGIC; + signal p_10_out : STD_LOGIC; + signal p_10_out_carry_n_1 : STD_LOGIC; + signal p_10_out_carry_n_2 : STD_LOGIC; + signal p_10_out_carry_n_3 : STD_LOGIC; + signal p_12_out : STD_LOGIC; + signal p_12_out_carry_n_1 : STD_LOGIC; + signal p_12_out_carry_n_2 : STD_LOGIC; + signal p_12_out_carry_n_3 : STD_LOGIC; + signal p_14_out : STD_LOGIC; + signal p_14_out_carry_n_1 : STD_LOGIC; + signal p_14_out_carry_n_2 : STD_LOGIC; + signal p_14_out_carry_n_3 : STD_LOGIC; + signal p_2_out : STD_LOGIC; + signal p_2_out_carry_n_1 : STD_LOGIC; + signal p_2_out_carry_n_2 : STD_LOGIC; + signal p_2_out_carry_n_3 : STD_LOGIC; + signal p_4_out : STD_LOGIC; + signal p_4_out_carry_n_1 : STD_LOGIC; + signal p_4_out_carry_n_2 : STD_LOGIC; + signal p_4_out_carry_n_3 : STD_LOGIC; + signal p_6_out : STD_LOGIC; + signal p_6_out_carry_n_1 : STD_LOGIC; + signal p_6_out_carry_n_2 : STD_LOGIC; + signal p_6_out_carry_n_3 : STD_LOGIC; + signal p_8_out : STD_LOGIC; + signal p_8_out_carry_n_1 : STD_LOGIC; + signal p_8_out_carry_n_2 : STD_LOGIC; + signal p_8_out_carry_n_3 : STD_LOGIC; + signal NLW_aid_match_00_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_aid_match_10_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_aid_match_20_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_aid_match_30_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_aid_match_40_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_aid_match_50_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_aid_match_60_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_aid_match_70_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_p_0_out_inferred__9_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_p_10_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_p_12_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_p_14_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_p_2_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_p_4_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_p_6_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_p_8_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \gen_multi_thread.accept_cnt[0]_i_1\ : label is "soft_lutpair80"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1\ : label is "soft_lutpair87"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0\ : label is "soft_lutpair87"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0\ : label is "soft_lutpair71"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0\ : label is "soft_lutpair71"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0\ : label is "soft_lutpair68"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0\ : label is "soft_lutpair68"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1\ : label is "soft_lutpair85"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0\ : label is "soft_lutpair85"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1\ : label is "soft_lutpair86"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0\ : label is "soft_lutpair86"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0\ : label is "soft_lutpair76"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0\ : label is "soft_lutpair76"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2__0\ : label is "soft_lutpair67"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0\ : label is "soft_lutpair64"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4__0\ : label is "soft_lutpair63"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1\ : label is "soft_lutpair83"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0\ : label is "soft_lutpair83"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0\ : label is "soft_lutpair77"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0\ : label is "soft_lutpair77"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3\ : label is "soft_lutpair70"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1\ : label is "soft_lutpair79"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0\ : label is "soft_lutpair78"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0\ : label is "soft_lutpair78"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2__0\ : label is "soft_lutpair70"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3__0\ : label is "soft_lutpair65"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1\ : label is "soft_lutpair73"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0\ : label is "soft_lutpair81"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0\ : label is "soft_lutpair81"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0\ : label is "soft_lutpair69"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2__0\ : label is "soft_lutpair69"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3\ : label is "soft_lutpair79"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0\ : label is "soft_lutpair82"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0\ : label is "soft_lutpair82"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0\ : label is "soft_lutpair74"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1\ : label is "soft_lutpair84"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0\ : label is "soft_lutpair84"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0\ : label is "soft_lutpair72"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0\ : label is "soft_lutpair72"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10__0\ : label is "soft_lutpair64"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11__0\ : label is "soft_lutpair66"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0\ : label is "soft_lutpair75"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3__0\ : label is "soft_lutpair66"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0\ : label is "soft_lutpair74"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8__0\ : label is "soft_lutpair63"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9__0\ : label is "soft_lutpair65"; + attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_13__0\ : label is "soft_lutpair80"; + attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_15__0\ : label is "soft_lutpair73"; + attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_8__0\ : label is "soft_lutpair75"; + attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_9__0\ : label is "soft_lutpair67"; +begin +aid_match_00_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => aid_match_00, + CO(2) => aid_match_00_carry_n_1, + CO(1) => aid_match_00_carry_n_2, + CO(0) => aid_match_00_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_aid_match_00_carry_O_UNCONNECTED(3 downto 0), + S(3) => aid_match_00_carry_i_1_n_0, + S(2) => aid_match_00_carry_i_2_n_0, + S(1) => aid_match_00_carry_i_3_n_0, + S(0) => aid_match_00_carry_i_4_n_0 + ); +aid_match_00_carry_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(9), + I1 => s_axi_arid(9), + I2 => s_axi_arid(11), + I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(11), + I4 => s_axi_arid(10), + I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(10), + O => aid_match_00_carry_i_1_n_0 + ); +aid_match_00_carry_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(7), + I1 => s_axi_arid(7), + I2 => s_axi_arid(8), + I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(8), + I4 => s_axi_arid(6), + I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(6), + O => aid_match_00_carry_i_2_n_0 + ); +aid_match_00_carry_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(3), + I1 => s_axi_arid(3), + I2 => s_axi_arid(5), + I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(5), + I4 => s_axi_arid(4), + I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(4), + O => aid_match_00_carry_i_3_n_0 + ); +aid_match_00_carry_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(0), + I1 => s_axi_arid(0), + I2 => s_axi_arid(2), + I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(2), + I4 => s_axi_arid(1), + I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(1), + O => aid_match_00_carry_i_4_n_0 + ); +aid_match_10_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => aid_match_10, + CO(2) => aid_match_10_carry_n_1, + CO(1) => aid_match_10_carry_n_2, + CO(0) => aid_match_10_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_aid_match_10_carry_O_UNCONNECTED(3 downto 0), + S(3) => aid_match_10_carry_i_1_n_0, + S(2) => aid_match_10_carry_i_2_n_0, + S(1) => aid_match_10_carry_i_3_n_0, + S(0) => aid_match_10_carry_i_4_n_0 + ); +aid_match_10_carry_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(10), + I1 => s_axi_arid(10), + I2 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(11), + I3 => s_axi_arid(11), + I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(9), + I5 => s_axi_arid(9), + O => aid_match_10_carry_i_1_n_0 + ); +aid_match_10_carry_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(6), + I1 => s_axi_arid(6), + I2 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(8), + I3 => s_axi_arid(8), + I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(7), + I5 => s_axi_arid(7), + O => aid_match_10_carry_i_2_n_0 + ); +aid_match_10_carry_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(4), + I1 => s_axi_arid(4), + I2 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(5), + I3 => s_axi_arid(5), + I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(3), + I5 => s_axi_arid(3), + O => aid_match_10_carry_i_3_n_0 + ); +aid_match_10_carry_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(0), + I1 => s_axi_arid(0), + I2 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(2), + I3 => s_axi_arid(2), + I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(1), + I5 => s_axi_arid(1), + O => aid_match_10_carry_i_4_n_0 + ); +aid_match_20_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => aid_match_20, + CO(2) => aid_match_20_carry_n_1, + CO(1) => aid_match_20_carry_n_2, + CO(0) => aid_match_20_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_aid_match_20_carry_O_UNCONNECTED(3 downto 0), + S(3) => aid_match_20_carry_i_1_n_0, + S(2) => aid_match_20_carry_i_2_n_0, + S(1) => aid_match_20_carry_i_3_n_0, + S(0) => aid_match_20_carry_i_4_n_0 + ); +aid_match_20_carry_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(10), + I1 => s_axi_arid(10), + I2 => s_axi_arid(11), + I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(11), + I4 => s_axi_arid(9), + I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(9), + O => aid_match_20_carry_i_1_n_0 + ); +aid_match_20_carry_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(6), + I1 => s_axi_arid(6), + I2 => s_axi_arid(8), + I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(8), + I4 => s_axi_arid(7), + I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(7), + O => aid_match_20_carry_i_2_n_0 + ); +aid_match_20_carry_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(4), + I1 => s_axi_arid(4), + I2 => s_axi_arid(5), + I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(5), + I4 => s_axi_arid(3), + I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(3), + O => aid_match_20_carry_i_3_n_0 + ); +aid_match_20_carry_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(1), + I1 => s_axi_arid(1), + I2 => s_axi_arid(2), + I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(2), + I4 => s_axi_arid(0), + I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(0), + O => aid_match_20_carry_i_4_n_0 + ); +aid_match_30_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => aid_match_30, + CO(2) => aid_match_30_carry_n_1, + CO(1) => aid_match_30_carry_n_2, + CO(0) => aid_match_30_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_aid_match_30_carry_O_UNCONNECTED(3 downto 0), + S(3) => aid_match_30_carry_i_1_n_0, + S(2) => aid_match_30_carry_i_2_n_0, + S(1) => aid_match_30_carry_i_3_n_0, + S(0) => aid_match_30_carry_i_4_n_0 + ); +aid_match_30_carry_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(10), + I1 => s_axi_arid(10), + I2 => s_axi_arid(11), + I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(11), + I4 => s_axi_arid(9), + I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(9), + O => aid_match_30_carry_i_1_n_0 + ); +aid_match_30_carry_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(7), + I1 => s_axi_arid(7), + I2 => s_axi_arid(8), + I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(8), + I4 => s_axi_arid(6), + I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(6), + O => aid_match_30_carry_i_2_n_0 + ); +aid_match_30_carry_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(3), + I1 => s_axi_arid(3), + I2 => s_axi_arid(5), + I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(5), + I4 => s_axi_arid(4), + I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(4), + O => aid_match_30_carry_i_3_n_0 + ); +aid_match_30_carry_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(1), + I1 => s_axi_arid(1), + I2 => s_axi_arid(2), + I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(2), + I4 => s_axi_arid(0), + I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(0), + O => aid_match_30_carry_i_4_n_0 + ); +aid_match_40_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => aid_match_40, + CO(2) => aid_match_40_carry_n_1, + CO(1) => aid_match_40_carry_n_2, + CO(0) => aid_match_40_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_aid_match_40_carry_O_UNCONNECTED(3 downto 0), + S(3) => aid_match_40_carry_i_1_n_0, + S(2) => aid_match_40_carry_i_2_n_0, + S(1) => aid_match_40_carry_i_3_n_0, + S(0) => aid_match_40_carry_i_4_n_0 + ); +aid_match_40_carry_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(9), + I1 => s_axi_arid(9), + I2 => s_axi_arid(11), + I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(11), + I4 => s_axi_arid(10), + I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(10), + O => aid_match_40_carry_i_1_n_0 + ); +aid_match_40_carry_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(6), + I1 => s_axi_arid(6), + I2 => s_axi_arid(8), + I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(8), + I4 => s_axi_arid(7), + I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(7), + O => aid_match_40_carry_i_2_n_0 + ); +aid_match_40_carry_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(3), + I1 => s_axi_arid(3), + I2 => s_axi_arid(5), + I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(5), + I4 => s_axi_arid(4), + I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(4), + O => aid_match_40_carry_i_3_n_0 + ); +aid_match_40_carry_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(0), + I1 => s_axi_arid(0), + I2 => s_axi_arid(2), + I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(2), + I4 => s_axi_arid(1), + I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(1), + O => aid_match_40_carry_i_4_n_0 + ); +aid_match_50_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => aid_match_50, + CO(2) => aid_match_50_carry_n_1, + CO(1) => aid_match_50_carry_n_2, + CO(0) => aid_match_50_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_aid_match_50_carry_O_UNCONNECTED(3 downto 0), + S(3) => aid_match_50_carry_i_1_n_0, + S(2) => aid_match_50_carry_i_2_n_0, + S(1) => aid_match_50_carry_i_3_n_0, + S(0) => aid_match_50_carry_i_4_n_0 + ); +aid_match_50_carry_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(9), + I1 => s_axi_arid(9), + I2 => s_axi_arid(11), + I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(11), + I4 => s_axi_arid(10), + I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(10), + O => aid_match_50_carry_i_1_n_0 + ); +aid_match_50_carry_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(6), + I1 => s_axi_arid(6), + I2 => s_axi_arid(8), + I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(8), + I4 => s_axi_arid(7), + I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(7), + O => aid_match_50_carry_i_2_n_0 + ); +aid_match_50_carry_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(3), + I1 => s_axi_arid(3), + I2 => s_axi_arid(5), + I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(5), + I4 => s_axi_arid(4), + I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(4), + O => aid_match_50_carry_i_3_n_0 + ); +aid_match_50_carry_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(0), + I1 => s_axi_arid(0), + I2 => s_axi_arid(2), + I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(2), + I4 => s_axi_arid(1), + I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(1), + O => aid_match_50_carry_i_4_n_0 + ); +aid_match_60_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => aid_match_60, + CO(2) => aid_match_60_carry_n_1, + CO(1) => aid_match_60_carry_n_2, + CO(0) => aid_match_60_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_aid_match_60_carry_O_UNCONNECTED(3 downto 0), + S(3) => aid_match_60_carry_i_1_n_0, + S(2) => aid_match_60_carry_i_2_n_0, + S(1) => aid_match_60_carry_i_3_n_0, + S(0) => aid_match_60_carry_i_4_n_0 + ); +aid_match_60_carry_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(10), + I1 => s_axi_arid(10), + I2 => s_axi_arid(11), + I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(11), + I4 => s_axi_arid(9), + I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(9), + O => aid_match_60_carry_i_1_n_0 + ); +aid_match_60_carry_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(7), + I1 => s_axi_arid(7), + I2 => s_axi_arid(8), + I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(8), + I4 => s_axi_arid(6), + I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(6), + O => aid_match_60_carry_i_2_n_0 + ); +aid_match_60_carry_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(4), + I1 => s_axi_arid(4), + I2 => s_axi_arid(5), + I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(5), + I4 => s_axi_arid(3), + I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(3), + O => aid_match_60_carry_i_3_n_0 + ); +aid_match_60_carry_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(0), + I1 => s_axi_arid(0), + I2 => s_axi_arid(2), + I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(2), + I4 => s_axi_arid(1), + I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(1), + O => aid_match_60_carry_i_4_n_0 + ); +aid_match_70_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => aid_match_70, + CO(2) => aid_match_70_carry_n_1, + CO(1) => aid_match_70_carry_n_2, + CO(0) => aid_match_70_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_aid_match_70_carry_O_UNCONNECTED(3 downto 0), + S(3) => aid_match_70_carry_i_1_n_0, + S(2) => aid_match_70_carry_i_2_n_0, + S(1) => aid_match_70_carry_i_3_n_0, + S(0) => aid_match_70_carry_i_4_n_0 + ); +aid_match_70_carry_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(9), + I1 => s_axi_arid(9), + I2 => s_axi_arid(11), + I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(11), + I4 => s_axi_arid(10), + I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(10), + O => aid_match_70_carry_i_1_n_0 + ); +aid_match_70_carry_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(6), + I1 => s_axi_arid(6), + I2 => s_axi_arid(8), + I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(8), + I4 => s_axi_arid(7), + I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(7), + O => aid_match_70_carry_i_2_n_0 + ); +aid_match_70_carry_i_3: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(3), + I1 => s_axi_arid(3), + I2 => s_axi_arid(5), + I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(5), + I4 => s_axi_arid(4), + I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(4), + O => aid_match_70_carry_i_3_n_0 + ); +aid_match_70_carry_i_4: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(1), + I1 => s_axi_arid(1), + I2 => s_axi_arid(2), + I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(2), + I4 => s_axi_arid(0), + I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(0), + O => aid_match_70_carry_i_4_n_0 + ); +\gen_multi_thread.accept_cnt[0]_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \gen_multi_thread.accept_cnt_reg__0\(0), + O => \gen_multi_thread.accept_cnt[0]_i_1_n_0\ + ); +\gen_multi_thread.accept_cnt_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_6\, + D => \gen_multi_thread.accept_cnt[0]_i_1_n_0\, + Q => \gen_multi_thread.accept_cnt_reg__0\(0), + R => SR(0) + ); +\gen_multi_thread.accept_cnt_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_6\, + D => \gen_multi_thread.arbiter_resp_inst_n_5\, + Q => \gen_multi_thread.accept_cnt_reg__0\(1), + R => SR(0) + ); +\gen_multi_thread.accept_cnt_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_6\, + D => \gen_multi_thread.arbiter_resp_inst_n_4\, + Q => \gen_multi_thread.accept_cnt_reg__0\(2), + R => SR(0) + ); +\gen_multi_thread.accept_cnt_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_6\, + D => \gen_multi_thread.arbiter_resp_inst_n_3\, + Q => \gen_multi_thread.accept_cnt_reg__0\(3), + R => SR(0) + ); +\gen_multi_thread.arbiter_resp_inst\: entity work.system_design_xbar_1_axi_crossbar_v2_1_10_arbiter_resp_4 + port map ( + CO(0) => p_14_out, + D(2) => \gen_multi_thread.arbiter_resp_inst_n_3\, + D(1) => \gen_multi_thread.arbiter_resp_inst_n_4\, + D(0) => \gen_multi_thread.arbiter_resp_inst_n_5\, + E(0) => \gen_multi_thread.arbiter_resp_inst_n_6\, + Q(3 downto 0) => \gen_multi_thread.accept_cnt_reg__0\(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_21\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_22\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_23\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_24\, + SR(0) => SR(0), + S_AXI_ARREADY(0) => S_AXI_ARREADY(0), + aa_mi_arvalid => aa_mi_arvalid, + aclk => aclk, + aresetn_d => aresetn_d, + \chosen_reg[0]_0\ => chosen(0), + \chosen_reg[1]_0\ => chosen(1), + \chosen_reg[2]_0\ => chosen(2), + cmd_push_0 => cmd_push_0, + cmd_push_1 => cmd_push_1, + cmd_push_2 => cmd_push_2, + cmd_push_3 => cmd_push_3, + cmd_push_4 => cmd_push_4, + cmd_push_5 => cmd_push_5, + cmd_push_6 => cmd_push_6, + cmd_push_7 => cmd_push_7, + \gen_master_slots[2].r_issuing_cnt_reg[16]\ => \gen_no_arbiter.s_ready_i[0]_i_5__0_n_0\, + \gen_multi_thread.accept_cnt_reg[1]\ => \gen_no_arbiter.s_ready_i[0]_i_13__0_n_0\, + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]\ => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0_n_0\, + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(0) => \gen_multi_thread.arbiter_resp_inst_n_7\, + \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(11 downto 0), + \gen_multi_thread.gen_thread_loop[0].active_target_reg[1]\ => \gen_no_arbiter.s_ready_i[0]_i_4__0_n_0\, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\(0) => \gen_multi_thread.arbiter_resp_inst_n_8\, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(3) => \gen_multi_thread.arbiter_resp_inst_n_25\, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(2) => \gen_multi_thread.arbiter_resp_inst_n_26\, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(1) => \gen_multi_thread.arbiter_resp_inst_n_27\, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(0) => \gen_multi_thread.arbiter_resp_inst_n_28\, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_1\ => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2__0_n_0\, + \gen_multi_thread.gen_thread_loop[1].active_id_reg[21]\(0) => p_12_out, + \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(11 downto 0), + \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\ => \gen_no_arbiter.s_ready_i[0]_i_3__0_n_0\, + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17]\ => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4__0_n_0\, + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\(0) => \gen_multi_thread.arbiter_resp_inst_n_9\, + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(3) => \gen_multi_thread.arbiter_resp_inst_n_29\, + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(2) => \gen_multi_thread.arbiter_resp_inst_n_30\, + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(1) => \gen_multi_thread.arbiter_resp_inst_n_31\, + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(0) => \gen_multi_thread.arbiter_resp_inst_n_32\, + \gen_multi_thread.gen_thread_loop[2].active_id_reg[33]\(0) => p_10_out, + \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(11 downto 0), + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25]\ => \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3_n_0\, + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\(0) => \gen_multi_thread.arbiter_resp_inst_n_10\, + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(3) => \gen_multi_thread.arbiter_resp_inst_n_33\, + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(2) => \gen_multi_thread.arbiter_resp_inst_n_34\, + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(1) => \gen_multi_thread.arbiter_resp_inst_n_35\, + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(0) => \gen_multi_thread.arbiter_resp_inst_n_36\, + \gen_multi_thread.gen_thread_loop[3].active_id_reg[45]\(0) => p_8_out, + \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(11 downto 0), + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33]\ => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3__0_n_0\, + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\(0) => \gen_multi_thread.arbiter_resp_inst_n_11\, + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(3) => \gen_multi_thread.arbiter_resp_inst_n_37\, + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(2) => \gen_multi_thread.arbiter_resp_inst_n_38\, + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(1) => \gen_multi_thread.arbiter_resp_inst_n_39\, + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(0) => \gen_multi_thread.arbiter_resp_inst_n_40\, + \gen_multi_thread.gen_thread_loop[4].active_id_reg[57]\(0) => p_6_out, + \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(11 downto 0), + \gen_multi_thread.gen_thread_loop[4].active_target_reg[33]\ => \gen_no_arbiter.s_ready_i[0]_i_6__0_n_0\, + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41]\ => \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2__0_n_0\, + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\(0) => \gen_multi_thread.arbiter_resp_inst_n_12\, + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(3) => \gen_multi_thread.arbiter_resp_inst_n_41\, + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(2) => \gen_multi_thread.arbiter_resp_inst_n_42\, + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(1) => \gen_multi_thread.arbiter_resp_inst_n_43\, + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(0) => \gen_multi_thread.arbiter_resp_inst_n_44\, + \gen_multi_thread.gen_thread_loop[5].active_id_reg[69]\(0) => p_4_out, + \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(11 downto 0), + \gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\ => \gen_no_arbiter.s_ready_i[0]_i_8__0_n_0\, + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\(0) => \gen_multi_thread.arbiter_resp_inst_n_13\, + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(3) => \gen_multi_thread.arbiter_resp_inst_n_45\, + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(2) => \gen_multi_thread.arbiter_resp_inst_n_46\, + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(1) => \gen_multi_thread.arbiter_resp_inst_n_47\, + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(0) => \gen_multi_thread.arbiter_resp_inst_n_48\, + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_1\ => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0_n_0\, + \gen_multi_thread.gen_thread_loop[6].active_id_reg[81]\(0) => p_2_out, + \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(11 downto 0), + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0) => \gen_multi_thread.arbiter_resp_inst_n_14\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(3) => \gen_multi_thread.arbiter_resp_inst_n_49\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(2) => \gen_multi_thread.arbiter_resp_inst_n_50\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(1) => \gen_multi_thread.arbiter_resp_inst_n_51\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(0) => \gen_multi_thread.arbiter_resp_inst_n_52\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1\ => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3__0_n_0\, + \gen_multi_thread.gen_thread_loop[7].active_id_reg[93]\(0) => p_0_out, + \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(11 downto 0), + \gen_no_arbiter.m_target_hot_i_reg[2]\ => \gen_no_arbiter.m_target_hot_i_reg[2]\, + \gen_no_arbiter.m_target_hot_i_reg[2]_0\(0) => \gen_no_arbiter.m_target_hot_i_reg[2]_0\(0), + \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_no_arbiter.s_ready_i_reg[0]\, + \m_payload_i_reg[34]\(0) => \m_payload_i_reg[34]_0\(0), + \m_payload_i_reg[34]_0\(0) => Q(0), + \m_payload_i_reg[34]_1\(0) => \m_payload_i_reg[34]_1\(0), + m_valid_i => m_valid_i, + match => match, + p_32_out => p_32_out, + p_54_out => p_54_out, + p_74_out => p_74_out, + s_axi_arvalid(0) => s_axi_arvalid(0), + s_axi_rdata(19 downto 0) => s_axi_rdata(19 downto 0), + s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), + s_axi_rlast(0) => s_axi_rlast(0), + s_axi_rready(0) => s_axi_rready(0), + s_axi_rresp(0) => s_axi_rresp(0), + s_axi_rvalid(0) => s_axi_rvalid(0), + st_mr_rid(35 downto 0) => st_mr_rid(35 downto 0), + st_mr_rmesg(41 downto 0) => st_mr_rmesg(41 downto 0) + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => active_cnt(0), + O => \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"69" + ) + port map ( + I0 => cmd_push_0, + I1 => active_cnt(1), + I2 => active_cnt(0), + O => \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6AA9" + ) + port map ( + I0 => active_cnt(2), + I1 => cmd_push_0, + I2 => active_cnt(1), + I3 => active_cnt(0), + O => \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"6AAAAAA9" + ) + port map ( + I0 => active_cnt(3), + I1 => active_cnt(2), + I2 => active_cnt(0), + I3 => active_cnt(1), + I4 => cmd_push_0, + O => \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_7\, + D => \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1_n_0\, + Q => active_cnt(0), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_7\, + D => \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1__0_n_0\, + Q => active_cnt(1), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_7\, + D => \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1__0_n_0\, + Q => active_cnt(2), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_7\, + D => \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2__0_n_0\, + Q => active_cnt(3), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_arid(0), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(0), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_arid(10), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(10), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_arid(11), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(11), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_arid(1), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(1), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_arid(2), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(2), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_arid(3), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(3), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_arid(4), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(4), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_arid(5), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(5), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_arid(6), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(6), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_arid(7), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(7), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[8]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_arid(8), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(8), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_arid(9), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg__0\(9), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_target[1]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"2A08" + ) + port map ( + I0 => S_AXI_ARREADY(0), + I1 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0_n_0\, + I2 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0\, + I3 => aid_match_00, + O => cmd_push_0 + ); +\gen_multi_thread.gen_thread_loop[0].active_target_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0\, + Q => active_target(1), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6AA9" + ) + port map ( + I0 => active_cnt(10), + I1 => active_cnt(9), + I2 => active_cnt(8), + I3 => cmd_push_1, + O => \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"6AAAAAA9" + ) + port map ( + I0 => active_cnt(11), + I1 => cmd_push_1, + I2 => active_cnt(8), + I3 => active_cnt(9), + I4 => active_cnt(10), + O => \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => active_cnt(8), + O => \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"69" + ) + port map ( + I0 => cmd_push_1, + I1 => active_cnt(9), + I2 => active_cnt(8), + O => \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_8\, + D => \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1__0_n_0\, + Q => active_cnt(10), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_8\, + D => \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2__0_n_0\, + Q => active_cnt(11), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[8]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_8\, + D => \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1_n_0\, + Q => active_cnt(8), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_8\, + D => \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1__0_n_0\, + Q => active_cnt(9), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[12]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_arid(0), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(0), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[13]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_arid(1), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(1), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[14]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_arid(2), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(2), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[15]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_arid(3), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(3), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[16]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_arid(4), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(4), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[17]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_arid(5), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(5), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[18]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_arid(6), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(6), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[19]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_arid(7), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(7), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[20]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_arid(8), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(8), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[21]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_arid(9), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(9), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_arid(10), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(10), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_arid(11), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg__0\(11), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_target[9]_i_1__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"080808A8" + ) + port map ( + I0 => S_AXI_ARREADY(0), + I1 => aid_match_10, + I2 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2__0_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0_n_0\, + O => cmd_push_1 + ); +\gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0\, + Q => active_target(9), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => active_cnt(16), + O => \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"69" + ) + port map ( + I0 => cmd_push_2, + I1 => active_cnt(17), + I2 => active_cnt(16), + O => \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6AA9" + ) + port map ( + I0 => active_cnt(18), + I1 => active_cnt(17), + I2 => active_cnt(16), + I3 => cmd_push_2, + O => \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"6AAAAAA9" + ) + port map ( + I0 => active_cnt(19), + I1 => cmd_push_2, + I2 => active_cnt(16), + I3 => active_cnt(17), + I4 => active_cnt(18), + O => \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[16]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_9\, + D => \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1_n_0\, + Q => active_cnt(16), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_9\, + D => \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1__0_n_0\, + Q => active_cnt(17), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_9\, + D => \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1__0_n_0\, + Q => active_cnt(18), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[19]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_9\, + D => \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2__0_n_0\, + Q => active_cnt(19), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[24]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_arid(0), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(0), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[25]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_arid(1), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(1), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[26]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_arid(2), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(2), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[27]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_arid(3), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(3), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[28]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_arid(4), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(4), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[29]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_arid(5), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(5), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[30]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_arid(6), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(6), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[31]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_arid(7), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(7), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[32]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_arid(8), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(8), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[33]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_arid(9), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(9), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_arid(10), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(10), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_arid(11), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg__0\(11), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAAA000200000002" + ) + port map ( + I0 => S_AXI_ARREADY(0), + I1 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0\, + I2 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2__0_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4__0_n_0\, + I5 => aid_match_20, + O => cmd_push_2 + ); +\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => active_cnt(10), + I1 => active_cnt(11), + I2 => active_cnt(9), + I3 => active_cnt(8), + O => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => active_cnt(1), + I1 => active_cnt(0), + I2 => active_cnt(2), + I3 => active_cnt(3), + O => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => active_cnt(17), + I1 => active_cnt(16), + I2 => active_cnt(18), + I3 => active_cnt(19), + O => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[2].active_target_reg[17]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0\, + Q => active_target(17), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => active_cnt(24), + O => \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"69" + ) + port map ( + I0 => cmd_push_3, + I1 => active_cnt(25), + I2 => active_cnt(24), + O => \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6AA9" + ) + port map ( + I0 => active_cnt(26), + I1 => cmd_push_3, + I2 => active_cnt(25), + I3 => active_cnt(24), + O => \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"6AAAAAA9" + ) + port map ( + I0 => active_cnt(27), + I1 => active_cnt(26), + I2 => active_cnt(24), + I3 => active_cnt(25), + I4 => cmd_push_3, + O => \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[24]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_10\, + D => \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1_n_0\, + Q => active_cnt(24), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_10\, + D => \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1__0_n_0\, + Q => active_cnt(25), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_10\, + D => \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1__0_n_0\, + Q => active_cnt(26), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[27]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_10\, + D => \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2__0_n_0\, + Q => active_cnt(27), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[36]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_arid(0), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(0), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[37]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_arid(1), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(1), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[38]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_arid(2), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(2), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[39]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_arid(3), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(3), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[40]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_arid(4), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(4), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[41]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_arid(5), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(5), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[42]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_arid(6), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(6), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[43]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_arid(7), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(7), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[44]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_arid(8), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(8), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[45]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_arid(9), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(9), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_arid(10), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(10), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_arid(11), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg__0\(11), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_1__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AA020002" + ) + port map ( + I0 => S_AXI_ARREADY(0), + I1 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0\, + I2 => \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2__0_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3_n_0\, + I4 => aid_match_30, + O => cmd_push_3 + ); +\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAAAAAABFFFFFFFF" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3__0_n_0\, + I1 => active_cnt(10), + I2 => active_cnt(11), + I3 => active_cnt(9), + I4 => active_cnt(8), + I5 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4__0_n_0\, + O => \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => active_cnt(25), + I1 => active_cnt(24), + I2 => active_cnt(26), + I3 => active_cnt(27), + O => \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3_n_0\ + ); +\gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0\, + Q => active_target(25), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => active_cnt(32), + O => \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"69" + ) + port map ( + I0 => cmd_push_4, + I1 => active_cnt(33), + I2 => active_cnt(32), + O => \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6AA9" + ) + port map ( + I0 => active_cnt(34), + I1 => cmd_push_4, + I2 => active_cnt(33), + I3 => active_cnt(32), + O => \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"6AAAAAA9" + ) + port map ( + I0 => active_cnt(35), + I1 => active_cnt(34), + I2 => active_cnt(32), + I3 => active_cnt(33), + I4 => cmd_push_4, + O => \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[32]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_11\, + D => \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1_n_0\, + Q => active_cnt(32), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_11\, + D => \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1__0_n_0\, + Q => active_cnt(33), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_11\, + D => \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1__0_n_0\, + Q => active_cnt(34), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[35]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_11\, + D => \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2__0_n_0\, + Q => active_cnt(35), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[48]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_arid(0), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(0), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[49]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_arid(1), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(1), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[50]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_arid(2), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(2), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[51]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_arid(3), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(3), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[52]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_arid(4), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(4), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[53]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_arid(5), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(5), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[54]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_arid(6), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(6), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[55]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_arid(7), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(7), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[56]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_arid(8), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(8), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[57]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_arid(9), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(9), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_arid(10), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(10), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_arid(11), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg__0\(11), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_1__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AA020002" + ) + port map ( + I0 => S_AXI_ARREADY(0), + I1 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0\, + I2 => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2__0_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3__0_n_0\, + I4 => aid_match_40, + O => cmd_push_4 + ); +\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAAAAAB" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2__0_n_0\, + I1 => active_cnt(27), + I2 => active_cnt(26), + I3 => active_cnt(24), + I4 => active_cnt(25), + O => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => active_cnt(33), + I1 => active_cnt(32), + I2 => active_cnt(34), + I3 => active_cnt(35), + O => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[4].active_target_reg[33]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0\, + Q => active_target(33), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => active_cnt(40), + O => \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"69" + ) + port map ( + I0 => cmd_push_5, + I1 => active_cnt(41), + I2 => active_cnt(40), + O => \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6AA9" + ) + port map ( + I0 => active_cnt(42), + I1 => cmd_push_5, + I2 => active_cnt(41), + I3 => active_cnt(40), + O => \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"6AAAAAA9" + ) + port map ( + I0 => active_cnt(43), + I1 => active_cnt(42), + I2 => active_cnt(40), + I3 => active_cnt(41), + I4 => cmd_push_5, + O => \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[40]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_12\, + D => \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1_n_0\, + Q => active_cnt(40), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_12\, + D => \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1__0_n_0\, + Q => active_cnt(41), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_12\, + D => \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1__0_n_0\, + Q => active_cnt(42), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[43]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_12\, + D => \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2__0_n_0\, + Q => active_cnt(43), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[60]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_arid(0), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(0), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[61]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_arid(1), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(1), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[62]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_arid(2), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(2), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[63]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_arid(3), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(3), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[64]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_arid(4), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(4), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[65]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_arid(5), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(5), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[66]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_arid(6), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(6), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[67]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_arid(7), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(7), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[68]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_arid(8), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(8), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[69]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_arid(9), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(9), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_arid(10), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(10), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_arid(11), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg__0\(11), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_1__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"080808A8" + ) + port map ( + I0 => S_AXI_ARREADY(0), + I1 => aid_match_50, + I2 => \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2__0_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0\, + O => cmd_push_5 + ); +\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => active_cnt(41), + I1 => active_cnt(40), + I2 => active_cnt(42), + I3 => active_cnt(43), + O => \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAAAAAB" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2__0_n_0\, + I1 => active_cnt(35), + I2 => active_cnt(34), + I3 => active_cnt(32), + I4 => active_cnt(33), + O => \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3_n_0\ + ); +\gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0\, + Q => active_target(41), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => active_cnt(48), + O => \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"69" + ) + port map ( + I0 => cmd_push_6, + I1 => active_cnt(49), + I2 => active_cnt(48), + O => \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6AA9" + ) + port map ( + I0 => active_cnt(50), + I1 => active_cnt(49), + I2 => active_cnt(48), + I3 => cmd_push_6, + O => \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"6AAAAAA9" + ) + port map ( + I0 => active_cnt(51), + I1 => cmd_push_6, + I2 => active_cnt(48), + I3 => active_cnt(49), + I4 => active_cnt(50), + O => \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[48]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_13\, + D => \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1_n_0\, + Q => active_cnt(48), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[49]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_13\, + D => \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1__0_n_0\, + Q => active_cnt(49), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_13\, + D => \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1__0_n_0\, + Q => active_cnt(50), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[51]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_13\, + D => \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2__0_n_0\, + Q => active_cnt(51), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[72]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_arid(0), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(0), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[73]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_arid(1), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(1), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[74]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_arid(2), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(2), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[75]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_arid(3), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(3), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[76]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_arid(4), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(4), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[77]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_arid(5), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(5), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[78]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_arid(6), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(6), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[79]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_arid(7), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(7), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[80]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_arid(8), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(8), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[81]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_arid(9), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(9), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_arid(10), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(10), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_arid(11), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg__0\(11), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_target[49]_i_1__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"08A80808" + ) + port map ( + I0 => S_AXI_ARREADY(0), + I1 => aid_match_60, + I2 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6__0_n_0\, + O => cmd_push_6 + ); +\gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0\, + Q => active_target(49), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => active_cnt(56), + O => \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0\: unisim.vcomponents.LUT3 + generic map( + INIT => X"69" + ) + port map ( + I0 => cmd_push_7, + I1 => active_cnt(57), + I2 => active_cnt(56), + O => \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6AA9" + ) + port map ( + I0 => active_cnt(58), + I1 => active_cnt(57), + I2 => active_cnt(56), + I3 => cmd_push_7, + O => \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"6AAAAAA9" + ) + port map ( + I0 => active_cnt(59), + I1 => cmd_push_7, + I2 => active_cnt(56), + I3 => active_cnt(57), + I4 => active_cnt(58), + O => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_14\, + D => \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1_n_0\, + Q => active_cnt(56), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[57]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_14\, + D => \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1__0_n_0\, + Q => active_cnt(57), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_14\, + D => \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1__0_n_0\, + Q => active_cnt(58), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[59]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_14\, + D => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2__0_n_0\, + Q => active_cnt(59), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[84]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_arid(0), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(0), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[85]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_arid(1), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(1), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[86]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_arid(2), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(2), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[87]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_arid(3), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(3), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[88]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_arid(4), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(4), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[89]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_arid(5), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(5), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[90]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_arid(6), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(6), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[91]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_arid(7), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(7), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[92]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_arid(8), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(8), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[93]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_arid(9), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(9), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_arid(10), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(10), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_arid(11), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg__0\(11), + R => SR(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAAAAA8" + ) + port map ( + I0 => aid_match_00, + I1 => active_cnt(3), + I2 => active_cnt(2), + I3 => active_cnt(0), + I4 => active_cnt(1), + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAAAAA8" + ) + port map ( + I0 => aid_match_70, + I1 => active_cnt(56), + I2 => active_cnt(57), + I3 => active_cnt(59), + I4 => active_cnt(58), + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"4F44" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2__0_n_0\, + I1 => aid_match_10, + I2 => \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2__0_n_0\, + I3 => aid_match_50, + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_13__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"7077" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3_n_0\, + I1 => aid_match_30, + I2 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0_n_0\, + I3 => aid_match_60, + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_13__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"080808A808080808" + ) + port map ( + I0 => S_AXI_ARREADY(0), + I1 => aid_match_70, + I2 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3__0_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0\, + I5 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6__0_n_0\, + O => cmd_push_7 + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => match, + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => active_cnt(58), + I1 => active_cnt(59), + I2 => active_cnt(57), + I3 => active_cnt(56), + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => active_cnt(50), + I1 => active_cnt(51), + I2 => active_cnt(49), + I3 => active_cnt(48), + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFFFF7FFFFFFFF" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8__0_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9__0_n_0\, + I2 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10__0_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11__0_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12__0_n_0\, + I5 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_13__0_n_0\, + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00000000FFFE0000" + ) + port map ( + I0 => active_cnt(43), + I1 => active_cnt(42), + I2 => active_cnt(40), + I3 => active_cnt(41), + I4 => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3__0_n_0\, + I5 => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2__0_n_0\, + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"55555557" + ) + port map ( + I0 => aid_match_20, + I1 => active_cnt(19), + I2 => active_cnt(18), + I3 => active_cnt(16), + I4 => active_cnt(17), + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"55555557" + ) + port map ( + I0 => aid_match_40, + I1 => active_cnt(35), + I2 => active_cnt(34), + I3 => active_cnt(32), + I4 => active_cnt(33), + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target_reg[57]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2__0_n_0\, + Q => active_target(57), + R => SR(0) + ); +\gen_no_arbiter.s_ready_i[0]_i_12__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00000000FFFE0000" + ) + port map ( + I0 => active_cnt(58), + I1 => active_cnt(59), + I2 => active_cnt(57), + I3 => active_cnt(56), + I4 => aid_match_70, + I5 => active_target(57), + O => \gen_no_arbiter.s_ready_i[0]_i_12__0_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_13__0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FEFF" + ) + port map ( + I0 => \gen_multi_thread.accept_cnt_reg__0\(1), + I1 => \gen_multi_thread.accept_cnt_reg__0\(0), + I2 => \gen_multi_thread.accept_cnt_reg__0\(2), + I3 => \gen_multi_thread.accept_cnt_reg__0\(3), + O => \gen_no_arbiter.s_ready_i[0]_i_13__0_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_14__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0808080808FF0808" + ) + port map ( + I0 => aid_match_30, + I1 => \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3_n_0\, + I2 => active_target(25), + I3 => active_target(49), + I4 => aid_match_60, + I5 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0_n_0\, + O => \gen_no_arbiter.s_ready_i[0]_i_14__0_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_15__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAAAAA8" + ) + port map ( + I0 => aid_match_50, + I1 => active_cnt(43), + I2 => active_cnt(42), + I3 => active_cnt(40), + I4 => active_cnt(41), + O => \gen_no_arbiter.s_ready_i[0]_i_15__0_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_16__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"7F7F007F7F7F7F7F" + ) + port map ( + I0 => active_target(25), + I1 => aid_match_30, + I2 => \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3_n_0\, + I3 => aid_match_60, + I4 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4__0_n_0\, + I5 => active_target(49), + O => \gen_no_arbiter.s_ready_i[0]_i_16__0_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000707770777077" + ) + port map ( + I0 => active_target(9), + I1 => \gen_no_arbiter.s_ready_i[0]_i_9__0_n_0\, + I2 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9__0_n_0\, + I3 => active_target(33), + I4 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11__0_n_0\, + I5 => active_target(57), + O => \gen_no_arbiter.s_ready_i[0]_i_3__0_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"F8FFF8F8FFFFFFFF" + ) + port map ( + I0 => active_target(1), + I1 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10__0_n_0\, + I2 => \gen_master_slots[0].r_issuing_cnt_reg[0]\, + I3 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8__0_n_0\, + I4 => active_target(17), + I5 => match, + O => \gen_no_arbiter.s_ready_i[0]_i_4__0_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_5__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"DD0DDD0DDD0D0000" + ) + port map ( + I0 => p_11_in, + I1 => \m_payload_i_reg[34]\, + I2 => \gen_no_arbiter.s_ready_i[0]_i_9__0_n_0\, + I3 => active_target(9), + I4 => active_target(17), + I5 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8__0_n_0\, + O => \gen_no_arbiter.s_ready_i[0]_i_5__0_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_6__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFF1FFF1FFFFFFF1" + ) + port map ( + I0 => active_target(33), + I1 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9__0_n_0\, + I2 => match, + I3 => \gen_no_arbiter.s_ready_i[0]_i_12__0_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10__0_n_0\, + I5 => active_target(1), + O => \gen_no_arbiter.s_ready_i[0]_i_6__0_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_8__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"E232EEFE" + ) + port map ( + I0 => \gen_no_arbiter.s_ready_i[0]_i_14__0_n_0\, + I1 => match, + I2 => \gen_no_arbiter.s_ready_i[0]_i_15__0_n_0\, + I3 => active_target(41), + I4 => \gen_no_arbiter.s_ready_i[0]_i_16__0_n_0\, + O => \gen_no_arbiter.s_ready_i[0]_i_8__0_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_9__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAAAAA8" + ) + port map ( + I0 => aid_match_10, + I1 => active_cnt(8), + I2 => active_cnt(9), + I3 => active_cnt(11), + I4 => active_cnt(10), + O => \gen_no_arbiter.s_ready_i[0]_i_9__0_n_0\ + ); +\p_0_out_inferred__9_carry\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => p_0_out, + CO(2) => \p_0_out_inferred__9_carry_n_1\, + CO(1) => \p_0_out_inferred__9_carry_n_2\, + CO(0) => \p_0_out_inferred__9_carry_n_3\, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => \NLW_p_0_out_inferred__9_carry_O_UNCONNECTED\(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_49\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_50\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_51\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_52\ + ); +p_10_out_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => p_10_out, + CO(2) => p_10_out_carry_n_1, + CO(1) => p_10_out_carry_n_2, + CO(0) => p_10_out_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_p_10_out_carry_O_UNCONNECTED(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_29\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_30\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_31\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_32\ + ); +p_12_out_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => p_12_out, + CO(2) => p_12_out_carry_n_1, + CO(1) => p_12_out_carry_n_2, + CO(0) => p_12_out_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_p_12_out_carry_O_UNCONNECTED(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_25\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_26\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_27\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_28\ + ); +p_14_out_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => p_14_out, + CO(2) => p_14_out_carry_n_1, + CO(1) => p_14_out_carry_n_2, + CO(0) => p_14_out_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_p_14_out_carry_O_UNCONNECTED(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_21\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_22\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_23\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_24\ + ); +p_2_out_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => p_2_out, + CO(2) => p_2_out_carry_n_1, + CO(1) => p_2_out_carry_n_2, + CO(0) => p_2_out_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_p_2_out_carry_O_UNCONNECTED(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_45\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_46\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_47\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_48\ + ); +p_4_out_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => p_4_out, + CO(2) => p_4_out_carry_n_1, + CO(1) => p_4_out_carry_n_2, + CO(0) => p_4_out_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_p_4_out_carry_O_UNCONNECTED(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_41\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_42\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_43\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_44\ + ); +p_6_out_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => p_6_out, + CO(2) => p_6_out_carry_n_1, + CO(1) => p_6_out_carry_n_2, + CO(0) => p_6_out_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_p_6_out_carry_O_UNCONNECTED(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_37\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_38\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_39\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_40\ + ); +p_8_out_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => p_8_out, + CO(2) => p_8_out_carry_n_1, + CO(1) => p_8_out_carry_n_2, + CO(0) => p_8_out_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_p_8_out_carry_O_UNCONNECTED(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_33\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_34\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_35\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_36\ + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity \system_design_xbar_1_axi_crossbar_v2_1_10_si_transactor__parameterized0\ is + port ( + SR : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0\ : out STD_LOGIC; + \gen_no_arbiter.s_ready_i_reg[0]\ : out STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]\ : out STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]_0\ : out STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]_1\ : out STD_LOGIC; + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0\ : out STD_LOGIC; + chosen : out STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bid : out STD_LOGIC_VECTOR ( 5 downto 0 ); + \gen_no_arbiter.m_valid_i_reg\ : out STD_LOGIC; + aclk : in STD_LOGIC; + match : in STD_LOGIC; + aresetn_d : in STD_LOGIC; + aa_mi_awtarget_hot : in STD_LOGIC_VECTOR ( 0 to 0 ); + \s_axi_awaddr[25]\ : in STD_LOGIC; + \gen_no_arbiter.s_ready_i_reg[0]_0\ : in STD_LOGIC; + \gen_no_arbiter.s_ready_i_reg[0]_1\ : in STD_LOGIC; + m_valid_i_reg : in STD_LOGIC; + w_issuing_cnt : in STD_LOGIC_VECTOR ( 2 downto 0 ); + p_38_out : in STD_LOGIC; + p_80_out : in STD_LOGIC; + s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); + p_60_out : in STD_LOGIC; + \m_payload_i_reg[3]\ : in STD_LOGIC; + st_mr_bid : in STD_LOGIC_VECTOR ( 17 downto 0 ); + \m_payload_i_reg[5]\ : in STD_LOGIC; + \m_payload_i_reg[7]\ : in STD_LOGIC; + \m_payload_i_reg[8]\ : in STD_LOGIC; + \m_payload_i_reg[10]\ : in STD_LOGIC; + \m_payload_i_reg[11]\ : in STD_LOGIC; + s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + aa_sa_awvalid : in STD_LOGIC; + \m_ready_d_reg[1]\ : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of \system_design_xbar_1_axi_crossbar_v2_1_10_si_transactor__parameterized0\ : entity is "axi_crossbar_v2_1_10_si_transactor"; +end \system_design_xbar_1_axi_crossbar_v2_1_10_si_transactor__parameterized0\; + +architecture STRUCTURE of \system_design_xbar_1_axi_crossbar_v2_1_10_si_transactor__parameterized0\ is + signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal active_cnt : STD_LOGIC_VECTOR ( 59 downto 0 ); + signal active_target : STD_LOGIC_VECTOR ( 57 downto 1 ); + signal aid_match_00 : STD_LOGIC; + signal \aid_match_00_carry_i_1__0_n_0\ : STD_LOGIC; + signal \aid_match_00_carry_i_2__0_n_0\ : STD_LOGIC; + signal \aid_match_00_carry_i_3__0_n_0\ : STD_LOGIC; + signal \aid_match_00_carry_i_4__0_n_0\ : STD_LOGIC; + signal aid_match_00_carry_n_1 : STD_LOGIC; + signal aid_match_00_carry_n_2 : STD_LOGIC; + signal aid_match_00_carry_n_3 : STD_LOGIC; + signal aid_match_10 : STD_LOGIC; + signal \aid_match_10_carry_i_1__0_n_0\ : STD_LOGIC; + signal \aid_match_10_carry_i_2__0_n_0\ : STD_LOGIC; + signal \aid_match_10_carry_i_3__0_n_0\ : STD_LOGIC; + signal \aid_match_10_carry_i_4__0_n_0\ : STD_LOGIC; + signal aid_match_10_carry_n_1 : STD_LOGIC; + signal aid_match_10_carry_n_2 : STD_LOGIC; + signal aid_match_10_carry_n_3 : STD_LOGIC; + signal aid_match_20 : STD_LOGIC; + signal \aid_match_20_carry_i_1__0_n_0\ : STD_LOGIC; + signal \aid_match_20_carry_i_2__0_n_0\ : STD_LOGIC; + signal \aid_match_20_carry_i_3__0_n_0\ : STD_LOGIC; + signal \aid_match_20_carry_i_4__0_n_0\ : STD_LOGIC; + signal aid_match_20_carry_n_1 : STD_LOGIC; + signal aid_match_20_carry_n_2 : STD_LOGIC; + signal aid_match_20_carry_n_3 : STD_LOGIC; + signal aid_match_30 : STD_LOGIC; + signal \aid_match_30_carry_i_1__0_n_0\ : STD_LOGIC; + signal \aid_match_30_carry_i_2__0_n_0\ : STD_LOGIC; + signal \aid_match_30_carry_i_3__0_n_0\ : STD_LOGIC; + signal \aid_match_30_carry_i_4__0_n_0\ : STD_LOGIC; + signal aid_match_30_carry_n_1 : STD_LOGIC; + signal aid_match_30_carry_n_2 : STD_LOGIC; + signal aid_match_30_carry_n_3 : STD_LOGIC; + signal aid_match_40 : STD_LOGIC; + signal \aid_match_40_carry_i_1__0_n_0\ : STD_LOGIC; + signal \aid_match_40_carry_i_2__0_n_0\ : STD_LOGIC; + signal \aid_match_40_carry_i_3__0_n_0\ : STD_LOGIC; + signal \aid_match_40_carry_i_4__0_n_0\ : STD_LOGIC; + signal aid_match_40_carry_n_1 : STD_LOGIC; + signal aid_match_40_carry_n_2 : STD_LOGIC; + signal aid_match_40_carry_n_3 : STD_LOGIC; + signal aid_match_50 : STD_LOGIC; + signal \aid_match_50_carry_i_1__0_n_0\ : STD_LOGIC; + signal \aid_match_50_carry_i_2__0_n_0\ : STD_LOGIC; + signal \aid_match_50_carry_i_3__0_n_0\ : STD_LOGIC; + signal \aid_match_50_carry_i_4__0_n_0\ : STD_LOGIC; + signal aid_match_50_carry_n_1 : STD_LOGIC; + signal aid_match_50_carry_n_2 : STD_LOGIC; + signal aid_match_50_carry_n_3 : STD_LOGIC; + signal aid_match_60 : STD_LOGIC; + signal \aid_match_60_carry_i_1__0_n_0\ : STD_LOGIC; + signal \aid_match_60_carry_i_2__0_n_0\ : STD_LOGIC; + signal \aid_match_60_carry_i_3__0_n_0\ : STD_LOGIC; + signal \aid_match_60_carry_i_4__0_n_0\ : STD_LOGIC; + signal aid_match_60_carry_n_1 : STD_LOGIC; + signal aid_match_60_carry_n_2 : STD_LOGIC; + signal aid_match_60_carry_n_3 : STD_LOGIC; + signal aid_match_70 : STD_LOGIC; + signal \aid_match_70_carry_i_1__0_n_0\ : STD_LOGIC; + signal \aid_match_70_carry_i_2__0_n_0\ : STD_LOGIC; + signal \aid_match_70_carry_i_3__0_n_0\ : STD_LOGIC; + signal \aid_match_70_carry_i_4__0_n_0\ : STD_LOGIC; + signal aid_match_70_carry_n_1 : STD_LOGIC; + signal aid_match_70_carry_n_2 : STD_LOGIC; + signal aid_match_70_carry_n_3 : STD_LOGIC; + signal cmd_push_0 : STD_LOGIC; + signal cmd_push_1 : STD_LOGIC; + signal cmd_push_2 : STD_LOGIC; + signal cmd_push_3 : STD_LOGIC; + signal cmd_push_4 : STD_LOGIC; + signal cmd_push_5 : STD_LOGIC; + signal cmd_push_6 : STD_LOGIC; + signal cmd_push_7 : STD_LOGIC; + signal \gen_multi_thread.accept_cnt[0]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.accept_cnt_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \gen_multi_thread.arbiter_resp_inst_n_10\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_11\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_12\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_13\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_14\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_15\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_16\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_22\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_23\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_24\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_25\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_26\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_27\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_28\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_29\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_30\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_31\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_32\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_33\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_34\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_35\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_36\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_37\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_38\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_39\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_40\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_41\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_42\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_43\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_44\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_45\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_46\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_47\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_48\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_49\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_5\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_50\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_51\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_52\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_53\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_6\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_7\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_8\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst_n_9\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[0].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[1].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[2].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[3].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[4].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[5].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[6].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_id_reg\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_13_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8_n_0\ : STD_LOGIC; + signal \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9_n_0\ : STD_LOGIC; + signal \^gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_13_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_14_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_16_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_2_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_7_n_0\ : STD_LOGIC; + signal \gen_no_arbiter.s_ready_i[0]_i_8_n_0\ : STD_LOGIC; + signal p_0_out : STD_LOGIC; + signal \p_0_out_inferred__9_carry_n_1\ : STD_LOGIC; + signal \p_0_out_inferred__9_carry_n_2\ : STD_LOGIC; + signal \p_0_out_inferred__9_carry_n_3\ : STD_LOGIC; + signal p_10_out : STD_LOGIC; + signal p_10_out_carry_n_1 : STD_LOGIC; + signal p_10_out_carry_n_2 : STD_LOGIC; + signal p_10_out_carry_n_3 : STD_LOGIC; + signal p_12_out : STD_LOGIC; + signal p_12_out_carry_n_1 : STD_LOGIC; + signal p_12_out_carry_n_2 : STD_LOGIC; + signal p_12_out_carry_n_3 : STD_LOGIC; + signal p_14_out : STD_LOGIC; + signal p_14_out_carry_n_1 : STD_LOGIC; + signal p_14_out_carry_n_2 : STD_LOGIC; + signal p_14_out_carry_n_3 : STD_LOGIC; + signal p_2_out : STD_LOGIC; + signal p_2_out_carry_n_1 : STD_LOGIC; + signal p_2_out_carry_n_2 : STD_LOGIC; + signal p_2_out_carry_n_3 : STD_LOGIC; + signal p_4_out : STD_LOGIC; + signal p_4_out_carry_n_1 : STD_LOGIC; + signal p_4_out_carry_n_2 : STD_LOGIC; + signal p_4_out_carry_n_3 : STD_LOGIC; + signal p_6_out : STD_LOGIC; + signal p_6_out_carry_n_1 : STD_LOGIC; + signal p_6_out_carry_n_2 : STD_LOGIC; + signal p_6_out_carry_n_3 : STD_LOGIC; + signal p_8_out : STD_LOGIC; + signal p_8_out_carry_n_1 : STD_LOGIC; + signal p_8_out_carry_n_2 : STD_LOGIC; + signal p_8_out_carry_n_3 : STD_LOGIC; + signal NLW_aid_match_00_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_aid_match_10_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_aid_match_20_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_aid_match_30_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_aid_match_40_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_aid_match_50_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_aid_match_60_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_aid_match_70_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_p_0_out_inferred__9_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_p_10_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_p_12_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_p_14_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_p_2_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_p_4_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_p_6_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_p_8_out_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1\ : label is "soft_lutpair107"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1\ : label is "soft_lutpair107"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2\ : label is "soft_lutpair99"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1\ : label is "soft_lutpair104"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2\ : label is "soft_lutpair104"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0\ : label is "soft_lutpair112"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1\ : label is "soft_lutpair112"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0\ : label is "soft_lutpair109"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1\ : label is "soft_lutpair109"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1\ : label is "soft_lutpair106"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2\ : label is "soft_lutpair106"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2\ : label is "soft_lutpair99"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3\ : label is "soft_lutpair101"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4\ : label is "soft_lutpair94"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0\ : label is "soft_lutpair95"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1\ : label is "soft_lutpair102"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2\ : label is "soft_lutpair102"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2\ : label is "soft_lutpair92"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0\ : label is "soft_lutpair110"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1\ : label is "soft_lutpair110"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1\ : label is "soft_lutpair98"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2\ : label is "soft_lutpair98"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2\ : label is "soft_lutpair95"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3\ : label is "soft_lutpair93"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1\ : label is "soft_lutpair108"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1\ : label is "soft_lutpair108"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2\ : label is "soft_lutpair103"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2\ : label is "soft_lutpair103"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3__0\ : label is "soft_lutpair93"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0\ : label is "soft_lutpair111"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1\ : label is "soft_lutpair111"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1\ : label is "soft_lutpair105"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2\ : label is "soft_lutpair105"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0\ : label is "soft_lutpair113"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1\ : label is "soft_lutpair113"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1\ : label is "soft_lutpair100"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2\ : label is "soft_lutpair100"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11\ : label is "soft_lutpair94"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12\ : label is "soft_lutpair96"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3\ : label is "soft_lutpair97"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4\ : label is "soft_lutpair96"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8\ : label is "soft_lutpair92"; + attribute SOFT_HLUTNM of \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9\ : label is "soft_lutpair97"; + attribute SOFT_HLUTNM of \gen_no_arbiter.s_ready_i[0]_i_2\ : label is "soft_lutpair101"; +begin + SR(0) <= \^sr\(0); + \gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0\ <= \^gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0\; +aid_match_00_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => aid_match_00, + CO(2) => aid_match_00_carry_n_1, + CO(1) => aid_match_00_carry_n_2, + CO(0) => aid_match_00_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_aid_match_00_carry_O_UNCONNECTED(3 downto 0), + S(3) => \aid_match_00_carry_i_1__0_n_0\, + S(2) => \aid_match_00_carry_i_2__0_n_0\, + S(1) => \aid_match_00_carry_i_3__0_n_0\, + S(0) => \aid_match_00_carry_i_4__0_n_0\ + ); +\aid_match_00_carry_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(9), + I1 => s_axi_awid(9), + I2 => s_axi_awid(11), + I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(11), + I4 => s_axi_awid(10), + I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(10), + O => \aid_match_00_carry_i_1__0_n_0\ + ); +\aid_match_00_carry_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(6), + I1 => s_axi_awid(6), + I2 => s_axi_awid(8), + I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(8), + I4 => s_axi_awid(7), + I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(7), + O => \aid_match_00_carry_i_2__0_n_0\ + ); +\aid_match_00_carry_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(3), + I1 => s_axi_awid(3), + I2 => s_axi_awid(5), + I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(5), + I4 => s_axi_awid(4), + I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(4), + O => \aid_match_00_carry_i_3__0_n_0\ + ); +\aid_match_00_carry_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(0), + I1 => s_axi_awid(0), + I2 => s_axi_awid(2), + I3 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(2), + I4 => s_axi_awid(1), + I5 => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(1), + O => \aid_match_00_carry_i_4__0_n_0\ + ); +aid_match_10_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => aid_match_10, + CO(2) => aid_match_10_carry_n_1, + CO(1) => aid_match_10_carry_n_2, + CO(0) => aid_match_10_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_aid_match_10_carry_O_UNCONNECTED(3 downto 0), + S(3) => \aid_match_10_carry_i_1__0_n_0\, + S(2) => \aid_match_10_carry_i_2__0_n_0\, + S(1) => \aid_match_10_carry_i_3__0_n_0\, + S(0) => \aid_match_10_carry_i_4__0_n_0\ + ); +\aid_match_10_carry_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(9), + I1 => s_axi_awid(9), + I2 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(11), + I3 => s_axi_awid(11), + I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(10), + I5 => s_axi_awid(10), + O => \aid_match_10_carry_i_1__0_n_0\ + ); +\aid_match_10_carry_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(7), + I1 => s_axi_awid(7), + I2 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(8), + I3 => s_axi_awid(8), + I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(6), + I5 => s_axi_awid(6), + O => \aid_match_10_carry_i_2__0_n_0\ + ); +\aid_match_10_carry_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(4), + I1 => s_axi_awid(4), + I2 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(5), + I3 => s_axi_awid(5), + I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(3), + I5 => s_axi_awid(3), + O => \aid_match_10_carry_i_3__0_n_0\ + ); +\aid_match_10_carry_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(0), + I1 => s_axi_awid(0), + I2 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(2), + I3 => s_axi_awid(2), + I4 => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(1), + I5 => s_axi_awid(1), + O => \aid_match_10_carry_i_4__0_n_0\ + ); +aid_match_20_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => aid_match_20, + CO(2) => aid_match_20_carry_n_1, + CO(1) => aid_match_20_carry_n_2, + CO(0) => aid_match_20_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_aid_match_20_carry_O_UNCONNECTED(3 downto 0), + S(3) => \aid_match_20_carry_i_1__0_n_0\, + S(2) => \aid_match_20_carry_i_2__0_n_0\, + S(1) => \aid_match_20_carry_i_3__0_n_0\, + S(0) => \aid_match_20_carry_i_4__0_n_0\ + ); +\aid_match_20_carry_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(9), + I1 => s_axi_awid(9), + I2 => s_axi_awid(11), + I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(11), + I4 => s_axi_awid(10), + I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(10), + O => \aid_match_20_carry_i_1__0_n_0\ + ); +\aid_match_20_carry_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(7), + I1 => s_axi_awid(7), + I2 => s_axi_awid(8), + I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(8), + I4 => s_axi_awid(6), + I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(6), + O => \aid_match_20_carry_i_2__0_n_0\ + ); +\aid_match_20_carry_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(4), + I1 => s_axi_awid(4), + I2 => s_axi_awid(5), + I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(5), + I4 => s_axi_awid(3), + I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(3), + O => \aid_match_20_carry_i_3__0_n_0\ + ); +\aid_match_20_carry_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(1), + I1 => s_axi_awid(1), + I2 => s_axi_awid(2), + I3 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(2), + I4 => s_axi_awid(0), + I5 => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(0), + O => \aid_match_20_carry_i_4__0_n_0\ + ); +aid_match_30_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => aid_match_30, + CO(2) => aid_match_30_carry_n_1, + CO(1) => aid_match_30_carry_n_2, + CO(0) => aid_match_30_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_aid_match_30_carry_O_UNCONNECTED(3 downto 0), + S(3) => \aid_match_30_carry_i_1__0_n_0\, + S(2) => \aid_match_30_carry_i_2__0_n_0\, + S(1) => \aid_match_30_carry_i_3__0_n_0\, + S(0) => \aid_match_30_carry_i_4__0_n_0\ + ); +\aid_match_30_carry_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(9), + I1 => s_axi_awid(9), + I2 => s_axi_awid(11), + I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(11), + I4 => s_axi_awid(10), + I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(10), + O => \aid_match_30_carry_i_1__0_n_0\ + ); +\aid_match_30_carry_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(6), + I1 => s_axi_awid(6), + I2 => s_axi_awid(8), + I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(8), + I4 => s_axi_awid(7), + I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(7), + O => \aid_match_30_carry_i_2__0_n_0\ + ); +\aid_match_30_carry_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(3), + I1 => s_axi_awid(3), + I2 => s_axi_awid(5), + I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(5), + I4 => s_axi_awid(4), + I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(4), + O => \aid_match_30_carry_i_3__0_n_0\ + ); +\aid_match_30_carry_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(1), + I1 => s_axi_awid(1), + I2 => s_axi_awid(2), + I3 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(2), + I4 => s_axi_awid(0), + I5 => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(0), + O => \aid_match_30_carry_i_4__0_n_0\ + ); +aid_match_40_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => aid_match_40, + CO(2) => aid_match_40_carry_n_1, + CO(1) => aid_match_40_carry_n_2, + CO(0) => aid_match_40_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_aid_match_40_carry_O_UNCONNECTED(3 downto 0), + S(3) => \aid_match_40_carry_i_1__0_n_0\, + S(2) => \aid_match_40_carry_i_2__0_n_0\, + S(1) => \aid_match_40_carry_i_3__0_n_0\, + S(0) => \aid_match_40_carry_i_4__0_n_0\ + ); +\aid_match_40_carry_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(9), + I1 => s_axi_awid(9), + I2 => s_axi_awid(11), + I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(11), + I4 => s_axi_awid(10), + I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(10), + O => \aid_match_40_carry_i_1__0_n_0\ + ); +\aid_match_40_carry_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(6), + I1 => s_axi_awid(6), + I2 => s_axi_awid(8), + I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(8), + I4 => s_axi_awid(7), + I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(7), + O => \aid_match_40_carry_i_2__0_n_0\ + ); +\aid_match_40_carry_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(4), + I1 => s_axi_awid(4), + I2 => s_axi_awid(5), + I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(5), + I4 => s_axi_awid(3), + I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(3), + O => \aid_match_40_carry_i_3__0_n_0\ + ); +\aid_match_40_carry_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(1), + I1 => s_axi_awid(1), + I2 => s_axi_awid(2), + I3 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(2), + I4 => s_axi_awid(0), + I5 => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(0), + O => \aid_match_40_carry_i_4__0_n_0\ + ); +aid_match_50_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => aid_match_50, + CO(2) => aid_match_50_carry_n_1, + CO(1) => aid_match_50_carry_n_2, + CO(0) => aid_match_50_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_aid_match_50_carry_O_UNCONNECTED(3 downto 0), + S(3) => \aid_match_50_carry_i_1__0_n_0\, + S(2) => \aid_match_50_carry_i_2__0_n_0\, + S(1) => \aid_match_50_carry_i_3__0_n_0\, + S(0) => \aid_match_50_carry_i_4__0_n_0\ + ); +\aid_match_50_carry_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(10), + I1 => s_axi_awid(10), + I2 => s_axi_awid(11), + I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(11), + I4 => s_axi_awid(9), + I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(9), + O => \aid_match_50_carry_i_1__0_n_0\ + ); +\aid_match_50_carry_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(7), + I1 => s_axi_awid(7), + I2 => s_axi_awid(8), + I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(8), + I4 => s_axi_awid(6), + I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(6), + O => \aid_match_50_carry_i_2__0_n_0\ + ); +\aid_match_50_carry_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(3), + I1 => s_axi_awid(3), + I2 => s_axi_awid(5), + I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(5), + I4 => s_axi_awid(4), + I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(4), + O => \aid_match_50_carry_i_3__0_n_0\ + ); +\aid_match_50_carry_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(0), + I1 => s_axi_awid(0), + I2 => s_axi_awid(2), + I3 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(2), + I4 => s_axi_awid(1), + I5 => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(1), + O => \aid_match_50_carry_i_4__0_n_0\ + ); +aid_match_60_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => aid_match_60, + CO(2) => aid_match_60_carry_n_1, + CO(1) => aid_match_60_carry_n_2, + CO(0) => aid_match_60_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_aid_match_60_carry_O_UNCONNECTED(3 downto 0), + S(3) => \aid_match_60_carry_i_1__0_n_0\, + S(2) => \aid_match_60_carry_i_2__0_n_0\, + S(1) => \aid_match_60_carry_i_3__0_n_0\, + S(0) => \aid_match_60_carry_i_4__0_n_0\ + ); +\aid_match_60_carry_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(9), + I1 => s_axi_awid(9), + I2 => s_axi_awid(11), + I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(11), + I4 => s_axi_awid(10), + I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(10), + O => \aid_match_60_carry_i_1__0_n_0\ + ); +\aid_match_60_carry_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(7), + I1 => s_axi_awid(7), + I2 => s_axi_awid(8), + I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(8), + I4 => s_axi_awid(6), + I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(6), + O => \aid_match_60_carry_i_2__0_n_0\ + ); +\aid_match_60_carry_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(4), + I1 => s_axi_awid(4), + I2 => s_axi_awid(5), + I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(5), + I4 => s_axi_awid(3), + I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(3), + O => \aid_match_60_carry_i_3__0_n_0\ + ); +\aid_match_60_carry_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(0), + I1 => s_axi_awid(0), + I2 => s_axi_awid(2), + I3 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(2), + I4 => s_axi_awid(1), + I5 => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(1), + O => \aid_match_60_carry_i_4__0_n_0\ + ); +aid_match_70_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => aid_match_70, + CO(2) => aid_match_70_carry_n_1, + CO(1) => aid_match_70_carry_n_2, + CO(0) => aid_match_70_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_aid_match_70_carry_O_UNCONNECTED(3 downto 0), + S(3) => \aid_match_70_carry_i_1__0_n_0\, + S(2) => \aid_match_70_carry_i_2__0_n_0\, + S(1) => \aid_match_70_carry_i_3__0_n_0\, + S(0) => \aid_match_70_carry_i_4__0_n_0\ + ); +\aid_match_70_carry_i_1__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(10), + I1 => s_axi_awid(10), + I2 => s_axi_awid(11), + I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(11), + I4 => s_axi_awid(9), + I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(9), + O => \aid_match_70_carry_i_1__0_n_0\ + ); +\aid_match_70_carry_i_2__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(6), + I1 => s_axi_awid(6), + I2 => s_axi_awid(8), + I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(8), + I4 => s_axi_awid(7), + I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(7), + O => \aid_match_70_carry_i_2__0_n_0\ + ); +\aid_match_70_carry_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(3), + I1 => s_axi_awid(3), + I2 => s_axi_awid(5), + I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(5), + I4 => s_axi_awid(4), + I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(4), + O => \aid_match_70_carry_i_3__0_n_0\ + ); +\aid_match_70_carry_i_4__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(1), + I1 => s_axi_awid(1), + I2 => s_axi_awid(2), + I3 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(2), + I4 => s_axi_awid(0), + I5 => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(0), + O => \aid_match_70_carry_i_4__0_n_0\ + ); +\gen_multi_thread.accept_cnt[0]_i_1__0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \gen_multi_thread.accept_cnt_reg\(0), + O => \gen_multi_thread.accept_cnt[0]_i_1__0_n_0\ + ); +\gen_multi_thread.accept_cnt_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_5\, + D => \gen_multi_thread.accept_cnt[0]_i_1__0_n_0\, + Q => \gen_multi_thread.accept_cnt_reg\(0), + R => \^sr\(0) + ); +\gen_multi_thread.accept_cnt_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_5\, + D => \gen_multi_thread.arbiter_resp_inst_n_8\, + Q => \gen_multi_thread.accept_cnt_reg\(1), + R => \^sr\(0) + ); +\gen_multi_thread.accept_cnt_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_5\, + D => \gen_multi_thread.arbiter_resp_inst_n_7\, + Q => \gen_multi_thread.accept_cnt_reg\(2), + R => \^sr\(0) + ); +\gen_multi_thread.accept_cnt_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_5\, + D => \gen_multi_thread.arbiter_resp_inst_n_6\, + Q => \gen_multi_thread.accept_cnt_reg\(3), + R => \^sr\(0) + ); +\gen_multi_thread.arbiter_resp_inst\: entity work.system_design_xbar_1_axi_crossbar_v2_1_10_arbiter_resp + port map ( + CO(0) => aid_match_10, + D(2) => \gen_multi_thread.arbiter_resp_inst_n_6\, + D(1) => \gen_multi_thread.arbiter_resp_inst_n_7\, + D(0) => \gen_multi_thread.arbiter_resp_inst_n_8\, + E(0) => \gen_multi_thread.arbiter_resp_inst_n_5\, + Q(3 downto 0) => \gen_multi_thread.accept_cnt_reg\(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_22\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_23\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_24\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_25\, + SR(0) => \^sr\(0), + aa_mi_awtarget_hot(0) => aa_mi_awtarget_hot(0), + aa_sa_awvalid => aa_sa_awvalid, + aclk => aclk, + active_target(4) => active_target(57), + active_target(3) => active_target(41), + active_target(2) => active_target(33), + active_target(1) => active_target(25), + active_target(0) => active_target(9), + aresetn_d => aresetn_d, + \chosen_reg[0]_0\ => chosen(0), + \chosen_reg[1]_0\ => chosen(1), + \chosen_reg[2]_0\ => chosen(2), + cmd_push_0 => cmd_push_0, + cmd_push_1 => cmd_push_1, + cmd_push_2 => cmd_push_2, + cmd_push_3 => cmd_push_3, + cmd_push_4 => cmd_push_4, + cmd_push_5 => cmd_push_5, + cmd_push_6 => cmd_push_6, + cmd_push_7 => cmd_push_7, + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]\ => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0\, + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]_0\ => \gen_no_arbiter.s_ready_i[0]_i_16_n_0\, + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\(0) => \gen_multi_thread.arbiter_resp_inst_n_16\, + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0\ => \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0\, + \gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(11 downto 0), + \gen_multi_thread.gen_thread_loop[0].active_id_reg[9]\(0) => p_14_out, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\(0) => \gen_multi_thread.arbiter_resp_inst_n_15\, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(3) => \gen_multi_thread.arbiter_resp_inst_n_26\, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(2) => \gen_multi_thread.arbiter_resp_inst_n_27\, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(1) => \gen_multi_thread.arbiter_resp_inst_n_28\, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]_0\(0) => \gen_multi_thread.arbiter_resp_inst_n_29\, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[11]\ => \gen_no_arbiter.s_ready_i[0]_i_2_n_0\, + \gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9]\ => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3_n_0\, + \gen_multi_thread.gen_thread_loop[1].active_id_reg[21]\(0) => p_12_out, + \gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(11 downto 0), + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17]\ => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4_n_0\, + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\(0) => \gen_multi_thread.arbiter_resp_inst_n_14\, + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(3) => \gen_multi_thread.arbiter_resp_inst_n_30\, + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(2) => \gen_multi_thread.arbiter_resp_inst_n_31\, + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(1) => \gen_multi_thread.arbiter_resp_inst_n_32\, + \gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]_0\(0) => \gen_multi_thread.arbiter_resp_inst_n_33\, + \gen_multi_thread.gen_thread_loop[2].active_id_reg[33]\(0) => p_10_out, + \gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(11 downto 0), + \gen_multi_thread.gen_thread_loop[2].active_target_reg[17]\ => \gen_no_arbiter.s_ready_i[0]_i_7_n_0\, + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25]\ => \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2_n_0\, + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\(0) => \gen_multi_thread.arbiter_resp_inst_n_13\, + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(3) => \gen_multi_thread.arbiter_resp_inst_n_34\, + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(2) => \gen_multi_thread.arbiter_resp_inst_n_35\, + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(1) => \gen_multi_thread.arbiter_resp_inst_n_36\, + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]_0\(0) => \gen_multi_thread.arbiter_resp_inst_n_37\, + \gen_multi_thread.gen_thread_loop[3].active_cnt_reg[27]\ => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8_n_0\, + \gen_multi_thread.gen_thread_loop[3].active_id_reg[45]\(0) => p_8_out, + \gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(11 downto 0), + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33]\ => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3_n_0\, + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\(0) => \gen_multi_thread.arbiter_resp_inst_n_12\, + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(3) => \gen_multi_thread.arbiter_resp_inst_n_38\, + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(2) => \gen_multi_thread.arbiter_resp_inst_n_39\, + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(1) => \gen_multi_thread.arbiter_resp_inst_n_40\, + \gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]_0\(0) => \gen_multi_thread.arbiter_resp_inst_n_41\, + \gen_multi_thread.gen_thread_loop[4].active_id_reg[57]\(0) => p_6_out, + \gen_multi_thread.gen_thread_loop[4].active_id_reg[57]_0\(0) => aid_match_40, + \gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(11 downto 0), + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41]\ => \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2_n_0\, + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\(0) => \gen_multi_thread.arbiter_resp_inst_n_11\, + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(3) => \gen_multi_thread.arbiter_resp_inst_n_42\, + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(2) => \gen_multi_thread.arbiter_resp_inst_n_43\, + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(1) => \gen_multi_thread.arbiter_resp_inst_n_44\, + \gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]_0\(0) => \gen_multi_thread.arbiter_resp_inst_n_45\, + \gen_multi_thread.gen_thread_loop[5].active_id_reg[69]\(0) => p_4_out, + \gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\(0) => aid_match_50, + \gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(11 downto 0), + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[49]\ => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4_n_0\, + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\(0) => \gen_multi_thread.arbiter_resp_inst_n_10\, + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(3) => \gen_multi_thread.arbiter_resp_inst_n_46\, + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(2) => \gen_multi_thread.arbiter_resp_inst_n_47\, + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(1) => \gen_multi_thread.arbiter_resp_inst_n_48\, + \gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]_0\(0) => \gen_multi_thread.arbiter_resp_inst_n_49\, + \gen_multi_thread.gen_thread_loop[6].active_id_reg[81]\(0) => p_2_out, + \gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(11 downto 0), + \gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\ => \gen_no_arbiter.s_ready_i[0]_i_8_n_0\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56]\ => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9_n_0\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(0) => \gen_multi_thread.arbiter_resp_inst_n_9\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(3) => \gen_multi_thread.arbiter_resp_inst_n_50\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(2) => \gen_multi_thread.arbiter_resp_inst_n_51\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(1) => \gen_multi_thread.arbiter_resp_inst_n_52\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\(0) => \gen_multi_thread.arbiter_resp_inst_n_53\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1\ => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3_n_0\, + \gen_multi_thread.gen_thread_loop[7].active_id_reg[93]\(0) => p_0_out, + \gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(11 downto 0), + \gen_no_arbiter.m_target_hot_i_reg[2]\ => \gen_no_arbiter.m_target_hot_i_reg[2]\, + \gen_no_arbiter.m_target_hot_i_reg[2]_0\ => \gen_no_arbiter.m_target_hot_i_reg[2]_0\, + \gen_no_arbiter.m_target_hot_i_reg[2]_1\ => \gen_no_arbiter.m_target_hot_i_reg[2]_1\, + \gen_no_arbiter.m_valid_i_reg\ => \gen_no_arbiter.m_valid_i_reg\, + \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_no_arbiter.s_ready_i_reg[0]\, + \gen_no_arbiter.s_ready_i_reg[0]_0\ => \gen_no_arbiter.s_ready_i_reg[0]_0\, + \gen_no_arbiter.s_ready_i_reg[0]_1\ => \gen_no_arbiter.s_ready_i_reg[0]_1\, + \m_payload_i_reg[10]\ => \m_payload_i_reg[10]\, + \m_payload_i_reg[11]\ => \m_payload_i_reg[11]\, + \m_payload_i_reg[3]\ => \m_payload_i_reg[3]\, + \m_payload_i_reg[5]\ => \m_payload_i_reg[5]\, + \m_payload_i_reg[7]\ => \m_payload_i_reg[7]\, + \m_payload_i_reg[8]\ => \m_payload_i_reg[8]\, + \m_ready_d_reg[1]\ => \m_ready_d_reg[1]\, + match => match, + p_38_out => p_38_out, + p_60_out => p_60_out, + p_80_out => p_80_out, + \s_axi_awaddr[25]\ => \s_axi_awaddr[25]\, + s_axi_bid(5 downto 0) => s_axi_bid(5 downto 0), + s_axi_bready(0) => s_axi_bready(0), + s_axi_bvalid(0) => s_axi_bvalid(0), + st_mr_bid(17 downto 0) => st_mr_bid(17 downto 0), + w_issuing_cnt(0) => w_issuing_cnt(2) + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => active_cnt(0), + O => \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"69" + ) + port map ( + I0 => cmd_push_0, + I1 => active_cnt(1), + I2 => active_cnt(0), + O => \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6AA9" + ) + port map ( + I0 => active_cnt(2), + I1 => cmd_push_0, + I2 => active_cnt(1), + I3 => active_cnt(0), + O => \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"6AAAAAA9" + ) + port map ( + I0 => active_cnt(3), + I1 => active_cnt(2), + I2 => active_cnt(0), + I3 => active_cnt(1), + I4 => cmd_push_0, + O => \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2_n_0\ + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_16\, + D => \gen_multi_thread.gen_thread_loop[0].active_cnt[0]_i_1__0_n_0\, + Q => active_cnt(0), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_16\, + D => \gen_multi_thread.gen_thread_loop[0].active_cnt[1]_i_1_n_0\, + Q => active_cnt(1), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_16\, + D => \gen_multi_thread.gen_thread_loop[0].active_cnt[2]_i_1_n_0\, + Q => active_cnt(2), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_cnt_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_16\, + D => \gen_multi_thread.gen_thread_loop[0].active_cnt[3]_i_2_n_0\, + Q => active_cnt(3), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_awid(0), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(0), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_awid(10), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(10), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_awid(11), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(11), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_awid(1), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(1), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[2]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_awid(2), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(2), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[3]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_awid(3), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(3), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[4]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_awid(4), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(4), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[5]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_awid(5), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(5), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[6]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_awid(6), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(6), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[7]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_awid(7), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(7), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[8]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_awid(8), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(8), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_id_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => s_axi_awid(9), + Q => \gen_multi_thread.gen_thread_loop[0].active_id_reg\(9), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[0].active_target[1]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"08A8" + ) + port map ( + I0 => \gen_no_arbiter.s_ready_i_reg[0]_1\, + I1 => aid_match_00, + I2 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0\, + O => cmd_push_0 + ); +\gen_multi_thread.gen_thread_loop[0].active_target_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_0, + D => \^gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0\, + Q => active_target(1), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6AA9" + ) + port map ( + I0 => active_cnt(10), + I1 => cmd_push_1, + I2 => active_cnt(9), + I3 => active_cnt(8), + O => \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"6AAAAAA9" + ) + port map ( + I0 => active_cnt(11), + I1 => active_cnt(10), + I2 => active_cnt(8), + I3 => active_cnt(9), + I4 => cmd_push_1, + O => \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2_n_0\ + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => active_cnt(8), + O => \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"69" + ) + port map ( + I0 => cmd_push_1, + I1 => active_cnt(9), + I2 => active_cnt(8), + O => \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_15\, + D => \gen_multi_thread.gen_thread_loop[1].active_cnt[10]_i_1_n_0\, + Q => active_cnt(10), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_15\, + D => \gen_multi_thread.gen_thread_loop[1].active_cnt[11]_i_2_n_0\, + Q => active_cnt(11), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[8]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_15\, + D => \gen_multi_thread.gen_thread_loop[1].active_cnt[8]_i_1__0_n_0\, + Q => active_cnt(8), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_cnt_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_15\, + D => \gen_multi_thread.gen_thread_loop[1].active_cnt[9]_i_1_n_0\, + Q => active_cnt(9), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[12]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_awid(0), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(0), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[13]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_awid(1), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(1), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[14]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_awid(2), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(2), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[15]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_awid(3), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(3), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[16]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_awid(4), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(4), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[17]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_awid(5), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(5), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[18]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_awid(6), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(6), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[19]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_awid(7), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(7), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[20]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_awid(8), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(8), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[21]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_awid(9), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(9), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[22]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_awid(10), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(10), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_id_reg[23]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => s_axi_awid(11), + Q => \gen_multi_thread.gen_thread_loop[1].active_id_reg\(11), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[1].active_target[9]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"080808A8" + ) + port map ( + I0 => \gen_no_arbiter.s_ready_i_reg[0]_1\, + I1 => aid_match_10, + I2 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0\, + O => cmd_push_1 + ); +\gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_1, + D => \^gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0\, + Q => active_target(9), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => active_cnt(16), + O => \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"69" + ) + port map ( + I0 => cmd_push_2, + I1 => active_cnt(17), + I2 => active_cnt(16), + O => \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6AA9" + ) + port map ( + I0 => active_cnt(18), + I1 => active_cnt(17), + I2 => active_cnt(16), + I3 => cmd_push_2, + O => \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"6AAAAAA9" + ) + port map ( + I0 => active_cnt(19), + I1 => cmd_push_2, + I2 => active_cnt(16), + I3 => active_cnt(17), + I4 => active_cnt(18), + O => \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2_n_0\ + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[16]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_14\, + D => \gen_multi_thread.gen_thread_loop[2].active_cnt[16]_i_1__0_n_0\, + Q => active_cnt(16), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[17]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_14\, + D => \gen_multi_thread.gen_thread_loop[2].active_cnt[17]_i_1_n_0\, + Q => active_cnt(17), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[18]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_14\, + D => \gen_multi_thread.gen_thread_loop[2].active_cnt[18]_i_1_n_0\, + Q => active_cnt(18), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_cnt_reg[19]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_14\, + D => \gen_multi_thread.gen_thread_loop[2].active_cnt[19]_i_2_n_0\, + Q => active_cnt(19), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[24]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_awid(0), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(0), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[25]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_awid(1), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(1), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[26]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_awid(2), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(2), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[27]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_awid(3), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(3), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[28]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_awid(4), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(4), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[29]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_awid(5), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(5), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[30]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_awid(6), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(6), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[31]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_awid(7), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(7), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[32]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_awid(8), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(8), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[33]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_awid(9), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(9), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[34]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_awid(10), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(10), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_id_reg[35]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => s_axi_awid(11), + Q => \gen_multi_thread.gen_thread_loop[2].active_id_reg\(11), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"AAAA000200000002" + ) + port map ( + I0 => \gen_no_arbiter.s_ready_i_reg[0]_1\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0\, + I2 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4_n_0\, + I5 => aid_match_20, + O => cmd_push_2 + ); +\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => active_cnt(1), + I1 => active_cnt(0), + I2 => active_cnt(2), + I3 => active_cnt(3), + O => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0\ + ); +\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => active_cnt(9), + I1 => active_cnt(8), + I2 => active_cnt(10), + I3 => active_cnt(11), + O => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3_n_0\ + ); +\gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => active_cnt(17), + I1 => active_cnt(16), + I2 => active_cnt(18), + I3 => active_cnt(19), + O => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4_n_0\ + ); +\gen_multi_thread.gen_thread_loop[2].active_target_reg[17]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_2, + D => \^gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0\, + Q => active_target(17), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => active_cnt(24), + O => \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"69" + ) + port map ( + I0 => cmd_push_3, + I1 => active_cnt(25), + I2 => active_cnt(24), + O => \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6AA9" + ) + port map ( + I0 => active_cnt(26), + I1 => cmd_push_3, + I2 => active_cnt(25), + I3 => active_cnt(24), + O => \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"6AAAAAA9" + ) + port map ( + I0 => active_cnt(27), + I1 => active_cnt(26), + I2 => active_cnt(24), + I3 => active_cnt(25), + I4 => cmd_push_3, + O => \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2_n_0\ + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[24]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_13\, + D => \gen_multi_thread.gen_thread_loop[3].active_cnt[24]_i_1__0_n_0\, + Q => active_cnt(24), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[25]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_13\, + D => \gen_multi_thread.gen_thread_loop[3].active_cnt[25]_i_1_n_0\, + Q => active_cnt(25), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[26]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_13\, + D => \gen_multi_thread.gen_thread_loop[3].active_cnt[26]_i_1_n_0\, + Q => active_cnt(26), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_cnt_reg[27]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_13\, + D => \gen_multi_thread.gen_thread_loop[3].active_cnt[27]_i_2_n_0\, + Q => active_cnt(27), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[36]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_awid(0), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(0), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[37]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_awid(1), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(1), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[38]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_awid(2), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(2), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[39]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_awid(3), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(3), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[40]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_awid(4), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(4), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[41]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_awid(5), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(5), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[42]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_awid(6), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(6), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[43]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_awid(7), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(7), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[44]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_awid(8), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(8), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[45]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_awid(9), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(9), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[46]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_awid(10), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(10), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_id_reg[47]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => s_axi_awid(11), + Q => \gen_multi_thread.gen_thread_loop[3].active_id_reg\(11), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"080808A8" + ) + port map ( + I0 => \gen_no_arbiter.s_ready_i_reg[0]_1\, + I1 => aid_match_30, + I2 => \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3__0_n_0\, + O => cmd_push_3 + ); +\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => active_cnt(25), + I1 => active_cnt(24), + I2 => active_cnt(26), + I3 => active_cnt(27), + O => \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2_n_0\ + ); +\gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3__0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFF0001FFFFFFFF" + ) + port map ( + I0 => active_cnt(9), + I1 => active_cnt(8), + I2 => active_cnt(10), + I3 => active_cnt(11), + I4 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0\, + I5 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4_n_0\, + O => \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[3].active_target_reg[25]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_3, + D => \^gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0\, + Q => active_target(25), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => active_cnt(32), + O => \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"69" + ) + port map ( + I0 => cmd_push_4, + I1 => active_cnt(33), + I2 => active_cnt(32), + O => \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6AA9" + ) + port map ( + I0 => active_cnt(34), + I1 => active_cnt(33), + I2 => active_cnt(32), + I3 => cmd_push_4, + O => \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"6AAAAAA9" + ) + port map ( + I0 => active_cnt(35), + I1 => cmd_push_4, + I2 => active_cnt(32), + I3 => active_cnt(33), + I4 => active_cnt(34), + O => \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2_n_0\ + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[32]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_12\, + D => \gen_multi_thread.gen_thread_loop[4].active_cnt[32]_i_1__0_n_0\, + Q => active_cnt(32), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[33]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_12\, + D => \gen_multi_thread.gen_thread_loop[4].active_cnt[33]_i_1_n_0\, + Q => active_cnt(33), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[34]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_12\, + D => \gen_multi_thread.gen_thread_loop[4].active_cnt[34]_i_1_n_0\, + Q => active_cnt(34), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_cnt_reg[35]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_12\, + D => \gen_multi_thread.gen_thread_loop[4].active_cnt[35]_i_2_n_0\, + Q => active_cnt(35), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[48]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_awid(0), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(0), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[49]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_awid(1), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(1), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[50]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_awid(2), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(2), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[51]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_awid(3), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(3), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[52]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_awid(4), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(4), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[53]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_awid(5), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(5), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[54]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_awid(6), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(6), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[55]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_awid(7), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(7), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[56]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_awid(8), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(8), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[57]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_awid(9), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(9), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[58]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_awid(10), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(10), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_id_reg[59]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => s_axi_awid(11), + Q => \gen_multi_thread.gen_thread_loop[4].active_id_reg\(11), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AA020002" + ) + port map ( + I0 => \gen_no_arbiter.s_ready_i_reg[0]_1\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0\, + I2 => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3_n_0\, + I4 => aid_match_40, + O => cmd_push_4 + ); +\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"FFFF0001" + ) + port map ( + I0 => active_cnt(27), + I1 => active_cnt(26), + I2 => active_cnt(24), + I3 => active_cnt(25), + I4 => \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_3__0_n_0\, + O => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2_n_0\ + ); +\gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => active_cnt(33), + I1 => active_cnt(32), + I2 => active_cnt(34), + I3 => active_cnt(35), + O => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3_n_0\ + ); +\gen_multi_thread.gen_thread_loop[4].active_target_reg[33]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_4, + D => \^gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0\, + Q => active_target(33), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => active_cnt(40), + O => \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"69" + ) + port map ( + I0 => cmd_push_5, + I1 => active_cnt(41), + I2 => active_cnt(40), + O => \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6AA9" + ) + port map ( + I0 => active_cnt(42), + I1 => cmd_push_5, + I2 => active_cnt(41), + I3 => active_cnt(40), + O => \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"6AAAAAA9" + ) + port map ( + I0 => active_cnt(43), + I1 => active_cnt(42), + I2 => active_cnt(40), + I3 => active_cnt(41), + I4 => cmd_push_5, + O => \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2_n_0\ + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[40]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_11\, + D => \gen_multi_thread.gen_thread_loop[5].active_cnt[40]_i_1__0_n_0\, + Q => active_cnt(40), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[41]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_11\, + D => \gen_multi_thread.gen_thread_loop[5].active_cnt[41]_i_1_n_0\, + Q => active_cnt(41), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[42]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_11\, + D => \gen_multi_thread.gen_thread_loop[5].active_cnt[42]_i_1_n_0\, + Q => active_cnt(42), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_cnt_reg[43]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_11\, + D => \gen_multi_thread.gen_thread_loop[5].active_cnt[43]_i_2_n_0\, + Q => active_cnt(43), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[60]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_awid(0), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(0), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[61]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_awid(1), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(1), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[62]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_awid(2), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(2), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[63]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_awid(3), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(3), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[64]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_awid(4), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(4), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[65]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_awid(5), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(5), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[66]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_awid(6), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(6), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[67]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_awid(7), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(7), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[68]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_awid(8), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(8), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[69]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_awid(9), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(9), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[70]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_awid(10), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(10), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_id_reg[71]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => s_axi_awid(11), + Q => \gen_multi_thread.gen_thread_loop[5].active_id_reg\(11), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"080808A8" + ) + port map ( + I0 => \gen_no_arbiter.s_ready_i_reg[0]_1\, + I1 => aid_match_50, + I2 => \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3__0_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0\, + O => cmd_push_5 + ); +\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => active_cnt(41), + I1 => active_cnt(40), + I2 => active_cnt(42), + I3 => active_cnt(43), + O => \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2_n_0\ + ); +\gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3__0\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAAAAAB" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2_n_0\, + I1 => active_cnt(35), + I2 => active_cnt(34), + I3 => active_cnt(32), + I4 => active_cnt(33), + O => \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_3__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[5].active_target_reg[41]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_5, + D => \^gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0\, + Q => active_target(41), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => active_cnt(48), + O => \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"69" + ) + port map ( + I0 => cmd_push_6, + I1 => active_cnt(49), + I2 => active_cnt(48), + O => \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6AA9" + ) + port map ( + I0 => active_cnt(50), + I1 => cmd_push_6, + I2 => active_cnt(49), + I3 => active_cnt(48), + O => \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"6AAAAAA9" + ) + port map ( + I0 => active_cnt(51), + I1 => active_cnt(50), + I2 => active_cnt(48), + I3 => active_cnt(49), + I4 => cmd_push_6, + O => \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2_n_0\ + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[48]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_10\, + D => \gen_multi_thread.gen_thread_loop[6].active_cnt[48]_i_1__0_n_0\, + Q => active_cnt(48), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[49]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_10\, + D => \gen_multi_thread.gen_thread_loop[6].active_cnt[49]_i_1_n_0\, + Q => active_cnt(49), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[50]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_10\, + D => \gen_multi_thread.gen_thread_loop[6].active_cnt[50]_i_1_n_0\, + Q => active_cnt(50), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_cnt_reg[51]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_10\, + D => \gen_multi_thread.gen_thread_loop[6].active_cnt[51]_i_2_n_0\, + Q => active_cnt(51), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[72]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_awid(0), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(0), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[73]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_awid(1), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(1), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[74]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_awid(2), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(2), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[75]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_awid(3), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(3), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[76]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_awid(4), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(4), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[77]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_awid(5), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(5), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[78]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_awid(6), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(6), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[79]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_awid(7), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(7), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[80]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_awid(8), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(8), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[81]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_awid(9), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(9), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[82]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_awid(10), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(10), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_id_reg[83]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => s_axi_awid(11), + Q => \gen_multi_thread.gen_thread_loop[6].active_id_reg\(11), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[6].active_target[49]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AA080008" + ) + port map ( + I0 => \gen_no_arbiter.s_ready_i_reg[0]_1\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6_n_0\, + I2 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4_n_0\, + I4 => aid_match_60, + O => cmd_push_6 + ); +\gen_multi_thread.gen_thread_loop[6].active_target_reg[49]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_6, + D => \^gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0\, + Q => active_target(49), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => active_cnt(56), + O => \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"69" + ) + port map ( + I0 => cmd_push_7, + I1 => active_cnt(57), + I2 => active_cnt(56), + O => \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"6AA9" + ) + port map ( + I0 => active_cnt(58), + I1 => active_cnt(57), + I2 => active_cnt(56), + I3 => cmd_push_7, + O => \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"6AAAAAA9" + ) + port map ( + I0 => active_cnt(59), + I1 => cmd_push_7, + I2 => active_cnt(56), + I3 => active_cnt(57), + I4 => active_cnt(58), + O => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[56]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_9\, + D => \gen_multi_thread.gen_thread_loop[7].active_cnt[56]_i_1__0_n_0\, + Q => active_cnt(56), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[57]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_9\, + D => \gen_multi_thread.gen_thread_loop[7].active_cnt[57]_i_1_n_0\, + Q => active_cnt(57), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_9\, + D => \gen_multi_thread.gen_thread_loop[7].active_cnt[58]_i_1_n_0\, + Q => active_cnt(58), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_cnt_reg[59]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => \gen_multi_thread.arbiter_resp_inst_n_9\, + D => \gen_multi_thread.gen_thread_loop[7].active_cnt[59]_i_2_n_0\, + Q => active_cnt(59), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[84]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_awid(0), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(0), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[85]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_awid(1), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(1), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[86]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_awid(2), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(2), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[87]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_awid(3), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(3), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[88]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_awid(4), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(4), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[89]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_awid(5), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(5), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[90]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_awid(6), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(6), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[91]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_awid(7), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(7), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[92]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_awid(8), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(8), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[93]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_awid(9), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(9), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[94]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_awid(10), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(10), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_id_reg[95]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => s_axi_awid(11), + Q => \gen_multi_thread.gen_thread_loop[7].active_id_reg\(11), + R => \^sr\(0) + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0808A80808080808" + ) + port map ( + I0 => \gen_no_arbiter.s_ready_i_reg[0]_1\, + I1 => aid_match_70, + I2 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0\, + I5 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6_n_0\, + O => cmd_push_7 + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10\: unisim.vcomponents.LUT4 + generic map( + INIT => X"4F44" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0\, + I1 => aid_match_00, + I2 => \gen_multi_thread.gen_thread_loop[5].active_target[41]_i_2_n_0\, + I3 => aid_match_50, + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11\: unisim.vcomponents.LUT5 + generic map( + INIT => X"55555557" + ) + port map ( + I0 => aid_match_20, + I1 => active_cnt(19), + I2 => active_cnt(18), + I3 => active_cnt(16), + I4 => active_cnt(17), + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12\: unisim.vcomponents.LUT5 + generic map( + INIT => X"55555557" + ) + port map ( + I0 => aid_match_60, + I1 => active_cnt(51), + I2 => active_cnt(50), + I3 => active_cnt(48), + I4 => active_cnt(49), + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_13\: unisim.vcomponents.LUT4 + generic map( + INIT => X"7077" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3_n_0\, + I1 => aid_match_40, + I2 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_3_n_0\, + I3 => aid_match_10, + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_13_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_2\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => match, + O => \^gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3\: unisim.vcomponents.LUT4 + generic map( + INIT => X"0001" + ) + port map ( + I0 => active_cnt(58), + I1 => active_cnt(59), + I2 => active_cnt(57), + I3 => active_cnt(56), + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_3_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4\: unisim.vcomponents.LUT4 + generic map( + INIT => X"FFFE" + ) + port map ( + I0 => active_cnt(49), + I1 => active_cnt(48), + I2 => active_cnt(50), + I3 => active_cnt(51), + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FEFFFFFFFFFFFFFF" + ) + port map ( + I0 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9_n_0\, + I2 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_10_n_0\, + I3 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11_n_0\, + I4 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12_n_0\, + I5 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_13_n_0\, + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_5_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00000000FFFE0000" + ) + port map ( + I0 => active_cnt(43), + I1 => active_cnt(42), + I2 => active_cnt(40), + I3 => active_cnt(41), + I4 => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3_n_0\, + I5 => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_2_n_0\, + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_6_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAAAAA8" + ) + port map ( + I0 => aid_match_30, + I1 => active_cnt(27), + I2 => active_cnt(26), + I3 => active_cnt(24), + I4 => active_cnt(25), + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_8_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAAAAA8" + ) + port map ( + I0 => aid_match_70, + I1 => active_cnt(56), + I2 => active_cnt(57), + I3 => active_cnt(59), + I4 => active_cnt(58), + O => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_9_n_0\ + ); +\gen_multi_thread.gen_thread_loop[7].active_target_reg[57]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => cmd_push_7, + D => \^gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0\, + Q => active_target(57), + R => \^sr\(0) + ); +\gen_no_arbiter.s_ready_i[0]_i_13\: unisim.vcomponents.LUT6 + generic map( + INIT => X"2020FF2020202020" + ) + port map ( + I0 => aid_match_30, + I1 => \gen_multi_thread.gen_thread_loop[3].active_target[25]_i_2_n_0\, + I2 => active_target(25), + I3 => aid_match_00, + I4 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_2_n_0\, + I5 => active_target(1), + O => \gen_no_arbiter.s_ready_i[0]_i_13_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_14\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FF04040404040404" + ) + port map ( + I0 => m_valid_i_reg, + I1 => w_issuing_cnt(1), + I2 => w_issuing_cnt(0), + I3 => aid_match_40, + I4 => \gen_multi_thread.gen_thread_loop[4].active_target[33]_i_3_n_0\, + I5 => active_target(33), + O => \gen_no_arbiter.s_ready_i[0]_i_14_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_16\: unisim.vcomponents.LUT6 + generic map( + INIT => X"00000000FFFE0000" + ) + port map ( + I0 => active_cnt(1), + I1 => active_cnt(0), + I2 => active_cnt(2), + I3 => active_cnt(3), + I4 => aid_match_00, + I5 => active_target(1), + O => \gen_no_arbiter.s_ready_i[0]_i_16_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"AAAAAAA8" + ) + port map ( + I0 => aid_match_10, + I1 => active_cnt(11), + I2 => active_cnt(10), + I3 => active_cnt(8), + I4 => active_cnt(9), + O => \gen_no_arbiter.s_ready_i[0]_i_2_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_7\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0045004500000045" + ) + port map ( + I0 => \gen_no_arbiter.s_ready_i[0]_i_13_n_0\, + I1 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_11_n_0\, + I2 => active_target(17), + I3 => \gen_no_arbiter.s_ready_i[0]_i_14_n_0\, + I4 => active_target(49), + I5 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_12_n_0\, + O => \gen_no_arbiter.s_ready_i[0]_i_7_n_0\ + ); +\gen_no_arbiter.s_ready_i[0]_i_8\: unisim.vcomponents.LUT6 + generic map( + INIT => X"F7F7F7F700F7F7F7" + ) + port map ( + I0 => aid_match_60, + I1 => \gen_multi_thread.gen_thread_loop[7].active_target[57]_i_4_n_0\, + I2 => active_target(49), + I3 => aid_match_20, + I4 => \gen_multi_thread.gen_thread_loop[2].active_target[17]_i_4_n_0\, + I5 => active_target(17), + O => \gen_no_arbiter.s_ready_i[0]_i_8_n_0\ + ); +\p_0_out_inferred__9_carry\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => p_0_out, + CO(2) => \p_0_out_inferred__9_carry_n_1\, + CO(1) => \p_0_out_inferred__9_carry_n_2\, + CO(0) => \p_0_out_inferred__9_carry_n_3\, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => \NLW_p_0_out_inferred__9_carry_O_UNCONNECTED\(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_50\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_51\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_52\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_53\ + ); +p_10_out_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => p_10_out, + CO(2) => p_10_out_carry_n_1, + CO(1) => p_10_out_carry_n_2, + CO(0) => p_10_out_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_p_10_out_carry_O_UNCONNECTED(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_30\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_31\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_32\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_33\ + ); +p_12_out_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => p_12_out, + CO(2) => p_12_out_carry_n_1, + CO(1) => p_12_out_carry_n_2, + CO(0) => p_12_out_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_p_12_out_carry_O_UNCONNECTED(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_26\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_27\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_28\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_29\ + ); +p_14_out_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => p_14_out, + CO(2) => p_14_out_carry_n_1, + CO(1) => p_14_out_carry_n_2, + CO(0) => p_14_out_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_p_14_out_carry_O_UNCONNECTED(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_22\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_23\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_24\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_25\ + ); +p_2_out_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => p_2_out, + CO(2) => p_2_out_carry_n_1, + CO(1) => p_2_out_carry_n_2, + CO(0) => p_2_out_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_p_2_out_carry_O_UNCONNECTED(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_46\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_47\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_48\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_49\ + ); +p_4_out_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => p_4_out, + CO(2) => p_4_out_carry_n_1, + CO(1) => p_4_out_carry_n_2, + CO(0) => p_4_out_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_p_4_out_carry_O_UNCONNECTED(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_42\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_43\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_44\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_45\ + ); +p_6_out_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => p_6_out, + CO(2) => p_6_out_carry_n_1, + CO(1) => p_6_out_carry_n_2, + CO(0) => p_6_out_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_p_6_out_carry_O_UNCONNECTED(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_38\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_39\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_40\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_41\ + ); +p_8_out_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => p_8_out, + CO(2) => p_8_out_carry_n_1, + CO(1) => p_8_out_carry_n_2, + CO(0) => p_8_out_carry_n_3, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => NLW_p_8_out_carry_O_UNCONNECTED(3 downto 0), + S(3) => \gen_multi_thread.arbiter_resp_inst_n_34\, + S(2) => \gen_multi_thread.arbiter_resp_inst_n_35\, + S(1) => \gen_multi_thread.arbiter_resp_inst_n_36\, + S(0) => \gen_multi_thread.arbiter_resp_inst_n_37\ + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_xbar_1_axi_data_fifo_v2_1_8_axic_reg_srl_fifo is + port ( + s_ready_i_reg_0 : out STD_LOGIC; + m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + \gen_axi.write_cs_reg[1]\ : out STD_LOGIC; + s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); + aclk : in STD_LOGIC; + \s_axi_awaddr[20]\ : in STD_LOGIC; + SR : in STD_LOGIC_VECTOR ( 0 to 0 ); + match : in STD_LOGIC; + s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_axi.write_cs_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + wr_tmp_wready : in STD_LOGIC_VECTOR ( 0 to 0 ); + ss_wr_awvalid : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_xbar_1_axi_data_fifo_v2_1_8_axic_reg_srl_fifo : entity is "axi_data_fifo_v2_1_8_axic_reg_srl_fifo"; +end system_design_xbar_1_axi_data_fifo_v2_1_8_axic_reg_srl_fifo; + +architecture STRUCTURE of system_design_xbar_1_axi_data_fifo_v2_1_8_axic_reg_srl_fifo is + signal \FSM_onehot_state[0]_i_1_n_0\ : STD_LOGIC; + signal \FSM_onehot_state[1]_i_1_n_0\ : STD_LOGIC; + signal \FSM_onehot_state[2]_i_1_n_0\ : STD_LOGIC; + signal \FSM_onehot_state[3]_i_2_n_0\ : STD_LOGIC; + signal \FSM_onehot_state_reg_n_0_[2]\ : STD_LOGIC; + attribute RTL_KEEP : string; + attribute RTL_KEEP of \FSM_onehot_state_reg_n_0_[2]\ : signal is "yes"; + signal \FSM_onehot_state_reg_n_0_[3]\ : STD_LOGIC; + attribute RTL_KEEP of \FSM_onehot_state_reg_n_0_[3]\ : signal is "yes"; + signal areset_d1 : STD_LOGIC; + signal fifoaddr : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \gen_rep[0].fifoaddr[0]_i_1_n_0\ : STD_LOGIC; + signal \gen_rep[0].fifoaddr[1]_i_1_n_0\ : STD_LOGIC; + signal \gen_rep[0].fifoaddr[2]_i_1_n_0\ : STD_LOGIC; + signal \gen_srls[0].gen_rep[0].srl_nx1_n_0\ : STD_LOGIC; + signal \gen_srls[0].gen_rep[1].srl_nx1_n_1\ : STD_LOGIC; + signal \gen_srls[0].gen_rep[1].srl_nx1_n_2\ : STD_LOGIC; + signal \gen_srls[0].gen_rep[1].srl_nx1_n_3\ : STD_LOGIC; + signal load_s1 : STD_LOGIC; + signal m_avalid : STD_LOGIC; + signal m_valid_i : STD_LOGIC; + signal m_valid_i_i_1_n_0 : STD_LOGIC; + signal p_0_in5_out : STD_LOGIC; + signal p_0_in8_in : STD_LOGIC; + attribute RTL_KEEP of p_0_in8_in : signal is "yes"; + signal p_1_in : STD_LOGIC; + signal p_9_in : STD_LOGIC; + attribute RTL_KEEP of p_9_in : signal is "yes"; + signal push : STD_LOGIC; + signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC; + signal \^s_ready_i_reg_0\ : STD_LOGIC; + signal storage_data1 : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \storage_data1[0]_i_1_n_0\ : STD_LOGIC; + attribute KEEP : string; + attribute KEEP of \FSM_onehot_state_reg[0]\ : label is "yes"; + attribute KEEP of \FSM_onehot_state_reg[1]\ : label is "yes"; + attribute KEEP of \FSM_onehot_state_reg[2]\ : label is "yes"; + attribute KEEP of \FSM_onehot_state_reg[3]\ : label is "yes"; + attribute syn_keep : string; + attribute syn_keep of \gen_rep[0].fifoaddr_reg[0]\ : label is "1"; + attribute syn_keep of \gen_rep[0].fifoaddr_reg[1]\ : label is "1"; + attribute syn_keep of \gen_rep[0].fifoaddr_reg[2]\ : label is "1"; + attribute SOFT_HLUTNM : string; + attribute SOFT_HLUTNM of \m_axi_wvalid[0]_INST_0\ : label is "soft_lutpair115"; + attribute SOFT_HLUTNM of \m_axi_wvalid[1]_INST_0\ : label is "soft_lutpair115"; +begin + s_ready_i_reg_0 <= \^s_ready_i_reg_0\; +\FSM_onehot_state[0]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00450000" + ) + port map ( + I0 => p_9_in, + I1 => m_ready_d(0), + I2 => s_axi_awvalid(0), + I3 => \gen_srls[0].gen_rep[1].srl_nx1_n_3\, + I4 => p_0_in8_in, + O => \FSM_onehot_state[0]_i_1_n_0\ + ); +\FSM_onehot_state[1]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"20202F20" + ) + port map ( + I0 => s_axi_awvalid(0), + I1 => m_ready_d(0), + I2 => p_9_in, + I3 => p_0_in5_out, + I4 => p_0_in8_in, + O => \FSM_onehot_state[1]_i_1_n_0\ + ); +\FSM_onehot_state[2]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"B0B0B0BF" + ) + port map ( + I0 => m_ready_d(0), + I1 => s_axi_awvalid(0), + I2 => p_9_in, + I3 => p_0_in5_out, + I4 => p_0_in8_in, + O => \FSM_onehot_state[2]_i_1_n_0\ + ); +\FSM_onehot_state[3]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFF844F844F844" + ) + port map ( + I0 => \gen_srls[0].gen_rep[1].srl_nx1_n_3\, + I1 => p_0_in8_in, + I2 => p_9_in, + I3 => ss_wr_awvalid, + I4 => \FSM_onehot_state_reg_n_0_[3]\, + I5 => p_0_in5_out, + O => m_valid_i + ); +\FSM_onehot_state[3]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"0000AA20" + ) + port map ( + I0 => p_0_in8_in, + I1 => m_ready_d(0), + I2 => s_axi_awvalid(0), + I3 => \gen_srls[0].gen_rep[1].srl_nx1_n_3\, + I4 => p_9_in, + O => \FSM_onehot_state[3]_i_2_n_0\ + ); +\FSM_onehot_state[3]_i_5\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000000400" + ) + port map ( + I0 => fifoaddr(1), + I1 => \gen_srls[0].gen_rep[1].srl_nx1_n_2\, + I2 => fifoaddr(0), + I3 => \FSM_onehot_state_reg_n_0_[3]\, + I4 => \gen_srls[0].gen_rep[1].srl_nx1_n_3\, + I5 => fifoaddr(2), + O => p_0_in5_out + ); +\FSM_onehot_state_reg[0]\: unisim.vcomponents.FDSE + generic map( + INIT => '1' + ) + port map ( + C => aclk, + CE => m_valid_i, + D => \FSM_onehot_state[0]_i_1_n_0\, + Q => p_9_in, + S => areset_d1 + ); +\FSM_onehot_state_reg[1]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => aclk, + CE => m_valid_i, + D => \FSM_onehot_state[1]_i_1_n_0\, + Q => p_0_in8_in, + R => areset_d1 + ); +\FSM_onehot_state_reg[2]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => aclk, + CE => m_valid_i, + D => \FSM_onehot_state[2]_i_1_n_0\, + Q => \FSM_onehot_state_reg_n_0_[2]\, + R => areset_d1 + ); +\FSM_onehot_state_reg[3]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => aclk, + CE => m_valid_i, + D => \FSM_onehot_state[3]_i_2_n_0\, + Q => \FSM_onehot_state_reg_n_0_[3]\, + R => areset_d1 + ); +areset_d1_reg: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => SR(0), + Q => areset_d1, + R => '0' + ); +\gen_axi.write_cs[1]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000800000" + ) + port map ( + I0 => s_axi_wvalid(0), + I1 => m_avalid, + I2 => s_axi_wlast(0), + I3 => storage_data1(0), + I4 => storage_data1(1), + I5 => \gen_axi.write_cs_reg[1]_0\(0), + O => \gen_axi.write_cs_reg[1]\ + ); +\gen_rep[0].fifoaddr[0]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"371DDDDDC8E22222" + ) + port map ( + I0 => \FSM_onehot_state_reg_n_0_[3]\, + I1 => \gen_srls[0].gen_rep[1].srl_nx1_n_3\, + I2 => p_0_in8_in, + I3 => \^s_ready_i_reg_0\, + I4 => ss_wr_awvalid, + I5 => fifoaddr(0), + O => \gen_rep[0].fifoaddr[0]_i_1_n_0\ + ); +\gen_rep[0].fifoaddr[1]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"DBDD2422" + ) + port map ( + I0 => fifoaddr(0), + I1 => \gen_srls[0].gen_rep[1].srl_nx1_n_2\, + I2 => \gen_srls[0].gen_rep[1].srl_nx1_n_3\, + I3 => \FSM_onehot_state_reg_n_0_[3]\, + I4 => fifoaddr(1), + O => \gen_rep[0].fifoaddr[1]_i_1_n_0\ + ); +\gen_rep[0].fifoaddr[2]_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"F7EFF7F708100808" + ) + port map ( + I0 => fifoaddr(1), + I1 => fifoaddr(0), + I2 => \gen_srls[0].gen_rep[1].srl_nx1_n_2\, + I3 => \gen_srls[0].gen_rep[1].srl_nx1_n_3\, + I4 => \FSM_onehot_state_reg_n_0_[3]\, + I5 => fifoaddr(2), + O => \gen_rep[0].fifoaddr[2]_i_1_n_0\ + ); +\gen_rep[0].fifoaddr_reg[0]\: unisim.vcomponents.FDSE + port map ( + C => aclk, + CE => '1', + D => \gen_rep[0].fifoaddr[0]_i_1_n_0\, + Q => fifoaddr(0), + S => SR(0) + ); +\gen_rep[0].fifoaddr_reg[1]\: unisim.vcomponents.FDSE + port map ( + C => aclk, + CE => '1', + D => \gen_rep[0].fifoaddr[1]_i_1_n_0\, + Q => fifoaddr(1), + S => SR(0) + ); +\gen_rep[0].fifoaddr_reg[2]\: unisim.vcomponents.FDSE + port map ( + C => aclk, + CE => '1', + D => \gen_rep[0].fifoaddr[2]_i_1_n_0\, + Q => fifoaddr(2), + S => SR(0) + ); +\gen_srls[0].gen_rep[0].srl_nx1\: entity work.\system_design_xbar_1_axi_data_fifo_v2_1_8_ndeep_srl__parameterized0\ + port map ( + aclk => aclk, + fifoaddr(2 downto 0) => fifoaddr(2 downto 0), + push => push, + \storage_data1_reg[0]\ => \gen_srls[0].gen_rep[0].srl_nx1_n_0\ + ); +\gen_srls[0].gen_rep[1].srl_nx1\: entity work.\system_design_xbar_1_axi_data_fifo_v2_1_8_ndeep_srl__parameterized1\ + port map ( + aclk => aclk, + fifoaddr(2 downto 0) => fifoaddr(2 downto 0), + \gen_rep[0].fifoaddr_reg[0]\ => \gen_srls[0].gen_rep[1].srl_nx1_n_3\, + load_s1 => load_s1, + m_avalid => m_avalid, + m_axi_wready(1 downto 0) => m_axi_wready(1 downto 0), + m_ready_d(0) => m_ready_d(0), + match => match, + out0(1) => p_0_in8_in, + out0(0) => \FSM_onehot_state_reg_n_0_[3]\, + push => push, + \s_axi_awaddr[20]\ => \s_axi_awaddr[20]\, + s_axi_awvalid(0) => s_axi_awvalid(0), + s_axi_wlast(0) => s_axi_wlast(0), + s_axi_wvalid(0) => s_axi_wvalid(0), + s_ready_i_reg => \gen_srls[0].gen_rep[1].srl_nx1_n_2\, + s_ready_i_reg_0 => \^s_ready_i_reg_0\, + storage_data1(1 downto 0) => storage_data1(1 downto 0), + \storage_data1_reg[1]\ => \gen_srls[0].gen_rep[1].srl_nx1_n_1\, + wr_tmp_wready(0) => wr_tmp_wready(0) + ); +\m_axi_wvalid[0]_INST_0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"1000" + ) + port map ( + I0 => storage_data1(0), + I1 => storage_data1(1), + I2 => m_avalid, + I3 => s_axi_wvalid(0), + O => m_axi_wvalid(0) + ); +\m_axi_wvalid[1]_INST_0\: unisim.vcomponents.LUT4 + generic map( + INIT => X"2000" + ) + port map ( + I0 => storage_data1(0), + I1 => storage_data1(1), + I2 => m_avalid, + I3 => s_axi_wvalid(0), + O => m_axi_wvalid(1) + ); +m_valid_i_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFF800F800F800" + ) + port map ( + I0 => \gen_srls[0].gen_rep[1].srl_nx1_n_3\, + I1 => p_0_in8_in, + I2 => p_9_in, + I3 => ss_wr_awvalid, + I4 => \FSM_onehot_state_reg_n_0_[3]\, + I5 => p_0_in5_out, + O => m_valid_i_i_1_n_0 + ); +m_valid_i_reg: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => m_valid_i, + D => m_valid_i_i_1_n_0, + Q => m_avalid, + R => areset_d1 + ); +\s_axi_wready[0]_INST_0\: unisim.vcomponents.LUT6 + generic map( + INIT => X"08AA08A0080A0800" + ) + port map ( + I0 => m_avalid, + I1 => m_axi_wready(1), + I2 => storage_data1(1), + I3 => storage_data1(0), + I4 => m_axi_wready(0), + I5 => wr_tmp_wready(0), + O => s_axi_wready(0) + ); +\s_ready_i_i_1__2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFEFFFAAAAAAAA" + ) + port map ( + I0 => p_1_in, + I1 => \gen_srls[0].gen_rep[1].srl_nx1_n_2\, + I2 => fifoaddr(1), + I3 => fifoaddr(2), + I4 => fifoaddr(0), + I5 => \^s_ready_i_reg_0\, + O => \s_ready_i_i_1__2_n_0\ + ); +s_ready_i_i_2: unisim.vcomponents.LUT3 + generic map( + INIT => X"BA" + ) + port map ( + I0 => areset_d1, + I1 => \gen_srls[0].gen_rep[1].srl_nx1_n_3\, + I2 => \FSM_onehot_state_reg_n_0_[3]\, + O => p_1_in + ); +s_ready_i_reg: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \s_ready_i_i_1__2_n_0\, + Q => \^s_ready_i_reg_0\, + R => SR(0) + ); +\storage_data1[0]_i_1\: unisim.vcomponents.LUT4 + generic map( + INIT => X"8F80" + ) + port map ( + I0 => \FSM_onehot_state_reg_n_0_[3]\, + I1 => \gen_srls[0].gen_rep[0].srl_nx1_n_0\, + I2 => load_s1, + I3 => storage_data1(0), + O => \storage_data1[0]_i_1_n_0\ + ); +\storage_data1[1]_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"0A0A0A0AFF0E0A0A" + ) + port map ( + I0 => \FSM_onehot_state_reg_n_0_[3]\, + I1 => p_0_in8_in, + I2 => \gen_srls[0].gen_rep[1].srl_nx1_n_3\, + I3 => p_9_in, + I4 => s_axi_awvalid(0), + I5 => m_ready_d(0), + O => load_s1 + ); +\storage_data1_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \storage_data1[0]_i_1_n_0\, + Q => storage_data1(0), + R => '0' + ); +\storage_data1_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \gen_srls[0].gen_rep[1].srl_nx1_n_1\, + Q => storage_data1(1), + R => '0' + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice is + port ( + p_80_out : out STD_LOGIC; + m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); + p_74_out : out STD_LOGIC; + \m_axi_rready[0]\ : out STD_LOGIC; + \gen_master_slots[0].w_issuing_cnt_reg[0]\ : out STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]\ : out STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]_0\ : out STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 46 downto 0 ); + \gen_master_slots[0].r_issuing_cnt_reg[1]\ : out STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); + \aresetn_d_reg[1]\ : in STD_LOGIC; + aclk : in STD_LOGIC; + p_1_in : in STD_LOGIC; + m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); + chosen : in STD_LOGIC_VECTOR ( 0 to 0 ); + \aresetn_d_reg[1]_0\ : in STD_LOGIC; + w_issuing_cnt : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \m_ready_d_reg[1]\ : in STD_LOGIC; + m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + chosen_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); + r_issuing_cnt : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + D : in STD_LOGIC_VECTOR ( 13 downto 0 ) + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice : entity is "axi_register_slice_v2_1_9_axi_register_slice"; +end system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice; + +architecture STRUCTURE of system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice is +begin +b_pipe: entity work.\system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1_7\ + port map ( + D(13 downto 0) => D(13 downto 0), + aclk => aclk, + \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, + \aresetn_d_reg[1]_0\ => \aresetn_d_reg[1]_0\, + chosen(0) => chosen(0), + \gen_master_slots[0].w_issuing_cnt_reg[0]\ => \gen_master_slots[0].w_issuing_cnt_reg[0]\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13 downto 0), + \gen_no_arbiter.m_target_hot_i_reg[2]\ => \gen_no_arbiter.m_target_hot_i_reg[2]\, + m_axi_bready(0) => m_axi_bready(0), + m_axi_bvalid(0) => m_axi_bvalid(0), + \m_payload_i_reg[0]_0\ => p_80_out, + \m_ready_d_reg[1]\ => \m_ready_d_reg[1]\, + p_1_in => p_1_in, + s_axi_bready(0) => s_axi_bready(0), + w_issuing_cnt(1 downto 0) => w_issuing_cnt(1 downto 0) + ); +r_pipe: entity work.\system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2_8\ + port map ( + Q(46 downto 0) => Q(46 downto 0), + aclk => aclk, + \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, + chosen_0(0) => chosen_0(0), + \gen_master_slots[0].r_issuing_cnt_reg[1]\ => \gen_master_slots[0].r_issuing_cnt_reg[1]\, + \gen_no_arbiter.m_target_hot_i_reg[2]\ => \gen_no_arbiter.m_target_hot_i_reg[2]_0\, + m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), + m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), + m_axi_rlast(0) => m_axi_rlast(0), + \m_axi_rready[0]\ => \m_axi_rready[0]\, + m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), + m_axi_rvalid(0) => m_axi_rvalid(0), + m_valid_i_reg_0 => p_74_out, + p_1_in => p_1_in, + r_issuing_cnt(1 downto 0) => r_issuing_cnt(1 downto 0), + s_axi_rready(0) => s_axi_rready(0) + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice_1 is + port ( + p_60_out : out STD_LOGIC; + m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); + p_1_in : out STD_LOGIC; + p_54_out : out STD_LOGIC; + \m_axi_rready[1]\ : out STD_LOGIC; + s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_rresp : out STD_LOGIC_VECTOR ( 0 to 0 ); + Q : out STD_LOGIC_VECTOR ( 33 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 11 downto 0 ); + \aresetn_d_reg[1]\ : out STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); + \aresetn_d_reg[1]_0\ : in STD_LOGIC; + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + chosen : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); + \aresetn_d_reg[1]_1\ : in STD_LOGIC; + \m_payload_i_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + p_38_out : in STD_LOGIC; + \m_payload_i_reg[32]\ : in STD_LOGIC_VECTOR ( 12 downto 0 ); + chosen_0 : in STD_LOGIC_VECTOR ( 1 downto 0 ); + p_32_out : in STD_LOGIC; + m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + D : in STD_LOGIC_VECTOR ( 13 downto 0 ) + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice_1 : entity is "axi_register_slice_v2_1_9_axi_register_slice"; +end system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice_1; + +architecture STRUCTURE of system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice_1 is + signal \^p_1_in\ : STD_LOGIC; +begin + p_1_in <= \^p_1_in\; +b_pipe: entity work.\system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1_5\ + port map ( + D(13 downto 0) => D(13 downto 0), + aclk => aclk, + aresetn => aresetn, + \aresetn_d_reg[1]\ => \aresetn_d_reg[1]\, + \aresetn_d_reg[1]_0\ => \aresetn_d_reg[1]_0\, + \aresetn_d_reg[1]_1\ => \aresetn_d_reg[1]_1\, + chosen(1 downto 0) => chosen(1 downto 0), + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11 downto 0), + m_axi_bready(0) => m_axi_bready(0), + m_axi_bvalid(0) => m_axi_bvalid(0), + \m_payload_i_reg[0]_0\ => p_60_out, + \m_payload_i_reg[1]_0\(1 downto 0) => \m_payload_i_reg[1]\(1 downto 0), + p_1_in => \^p_1_in\, + p_38_out => p_38_out, + s_axi_bready(0) => s_axi_bready(0), + s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0) + ); +r_pipe: entity work.\system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2_6\ + port map ( + Q(33 downto 0) => Q(33 downto 0), + aclk => aclk, + \aresetn_d_reg[1]\ => \aresetn_d_reg[1]_0\, + chosen_0(1 downto 0) => chosen_0(1 downto 0), + m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), + m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), + m_axi_rlast(0) => m_axi_rlast(0), + \m_axi_rready[1]\ => \m_axi_rready[1]\, + m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), + m_axi_rvalid(0) => m_axi_rvalid(0), + \m_payload_i_reg[0]_0\ => p_54_out, + \m_payload_i_reg[32]_0\(12 downto 0) => \m_payload_i_reg[32]\(12 downto 0), + p_1_in => \^p_1_in\, + p_32_out => p_32_out, + s_axi_rdata(11 downto 0) => s_axi_rdata(11 downto 0), + s_axi_rready(0) => s_axi_rready(0), + s_axi_rresp(0) => s_axi_rresp(0) + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice_2 is + port ( + p_38_out : out STD_LOGIC; + m_valid_i_reg : out STD_LOGIC; + mi_bready_2 : out STD_LOGIC; + p_32_out : out STD_LOGIC; + mi_rready_2 : out STD_LOGIC; + s_ready_i_reg : out STD_LOGIC; + s_axi_bid : out STD_LOGIC_VECTOR ( 5 downto 0 ); + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ : out STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 5 downto 0 ); + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ : out STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1\ : out STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2\ : out STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3\ : out STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4\ : out STD_LOGIC; + \gen_no_arbiter.m_target_hot_i_reg[2]\ : out STD_LOGIC; + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_5\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); + \gen_master_slots[2].r_issuing_cnt_reg[16]\ : out STD_LOGIC; + aclk : in STD_LOGIC; + p_1_in : in STD_LOGIC; + \aresetn_d_reg[0]\ : in STD_LOGIC; + p_21_in : in STD_LOGIC; + chosen : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); + \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \chosen_reg[1]\ : in STD_LOGIC; + p_15_in : in STD_LOGIC; + s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); + chosen_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_axi.s_axi_rid_i_reg[11]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + p_17_in : in STD_LOGIC; + \gen_no_arbiter.m_valid_i_reg\ : in STD_LOGIC; + p_11_in : in STD_LOGIC; + D : in STD_LOGIC_VECTOR ( 11 downto 0 ) + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice_2 : entity is "axi_register_slice_v2_1_9_axi_register_slice"; +end system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice_2; + +architecture STRUCTURE of system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice_2 is + signal \^m_valid_i_reg\ : STD_LOGIC; +begin + m_valid_i_reg <= \^m_valid_i_reg\; +b_pipe: entity work.\system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized1\ + port map ( + D(11 downto 0) => D(11 downto 0), + Q(5 downto 0) => Q(5 downto 0), + aclk => aclk, + \aresetn_d_reg[0]\ => \aresetn_d_reg[0]\, + chosen(0) => chosen(0), + \chosen_reg[1]\ => \chosen_reg[1]\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1\ => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2\ => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3\ => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4\ => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4\, + \m_payload_i_reg[11]_0\(11 downto 0) => \m_payload_i_reg[11]\(11 downto 0), + \m_payload_i_reg[2]_0\ => p_38_out, + m_valid_i_reg_0 => \^m_valid_i_reg\, + mi_bready_2 => mi_bready_2, + p_1_in => p_1_in, + p_21_in => p_21_in, + s_axi_bid(5 downto 0) => s_axi_bid(5 downto 0), + s_axi_bready(0) => s_axi_bready(0), + s_ready_i_reg_0 => s_ready_i_reg + ); +r_pipe: entity work.\system_design_xbar_1_axi_register_slice_v2_1_9_axic_register_slice__parameterized2\ + port map ( + aclk => aclk, + \aresetn_d_reg[1]\ => \^m_valid_i_reg\, + chosen_0(0) => chosen_0(0), + \gen_axi.s_axi_rid_i_reg[11]\(11 downto 0) => \gen_axi.s_axi_rid_i_reg[11]\(11 downto 0), + \gen_master_slots[2].r_issuing_cnt_reg[16]\ => \gen_master_slots[2].r_issuing_cnt_reg[16]\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(12 downto 0) => \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_5\(12 downto 0), + \gen_no_arbiter.m_target_hot_i_reg[2]\ => \gen_no_arbiter.m_target_hot_i_reg[2]\, + \gen_no_arbiter.m_valid_i_reg\ => \gen_no_arbiter.m_valid_i_reg\, + m_valid_i_reg_0 => p_32_out, + p_11_in => p_11_in, + p_15_in => p_15_in, + p_17_in => p_17_in, + p_1_in => p_1_in, + s_axi_rready(0) => s_axi_rready(0), + \skid_buffer_reg[34]_0\ => mi_rready_2 + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_xbar_1_axi_crossbar_v2_1_10_wdata_router is + port ( + ss_wr_awready : out STD_LOGIC; + m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + \gen_axi.write_cs_reg[1]\ : out STD_LOGIC; + s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); + aclk : in STD_LOGIC; + \s_axi_awaddr[20]\ : in STD_LOGIC; + SR : in STD_LOGIC_VECTOR ( 0 to 0 ); + match : in STD_LOGIC; + s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_axi.write_cs_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_ready_d : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + wr_tmp_wready : in STD_LOGIC_VECTOR ( 0 to 0 ); + ss_wr_awvalid : in STD_LOGIC + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_xbar_1_axi_crossbar_v2_1_10_wdata_router : entity is "axi_crossbar_v2_1_10_wdata_router"; +end system_design_xbar_1_axi_crossbar_v2_1_10_wdata_router; + +architecture STRUCTURE of system_design_xbar_1_axi_crossbar_v2_1_10_wdata_router is +begin +wrouter_aw_fifo: entity work.system_design_xbar_1_axi_data_fifo_v2_1_8_axic_reg_srl_fifo + port map ( + SR(0) => SR(0), + aclk => aclk, + \gen_axi.write_cs_reg[1]\ => \gen_axi.write_cs_reg[1]\, + \gen_axi.write_cs_reg[1]_0\(0) => \gen_axi.write_cs_reg[1]_0\(0), + m_axi_wready(1 downto 0) => m_axi_wready(1 downto 0), + m_axi_wvalid(1 downto 0) => m_axi_wvalid(1 downto 0), + m_ready_d(0) => m_ready_d(0), + match => match, + \s_axi_awaddr[20]\ => \s_axi_awaddr[20]\, + s_axi_awvalid(0) => s_axi_awvalid(0), + s_axi_wlast(0) => s_axi_wlast(0), + s_axi_wready(0) => s_axi_wready(0), + s_axi_wvalid(0) => s_axi_wvalid(0), + s_ready_i_reg_0 => ss_wr_awready, + ss_wr_awvalid => ss_wr_awvalid, + wr_tmp_wready(0) => wr_tmp_wready(0) + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_xbar_1_axi_crossbar_v2_1_10_crossbar is + port ( + Q : out STD_LOGIC_VECTOR ( 68 downto 0 ); + \m_axi_arqos[7]\ : out STD_LOGIC_VECTOR ( 68 downto 0 ); + m_axi_bready : out STD_LOGIC_VECTOR ( 1 downto 0 ); + M_AXI_RREADY : out STD_LOGIC_VECTOR ( 1 downto 0 ); + S_AXI_ARREADY : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + \s_axi_awready[0]\ : out STD_LOGIC; + s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + m_axi_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); + aclk : in STD_LOGIC; + s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_bid : in STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_bresp : in STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_rid : in STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_rlast : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_arready : in STD_LOGIC_VECTOR ( 0 to 0 ); + aresetn : in STD_LOGIC; + D : in STD_LOGIC_VECTOR ( 56 downto 0 ); + \s_axi_arqos[3]\ : in STD_LOGIC_VECTOR ( 56 downto 0 ); + m_axi_awready : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 ) + ); + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_xbar_1_axi_crossbar_v2_1_10_crossbar : entity is "axi_crossbar_v2_1_10_crossbar"; +end system_design_xbar_1_axi_crossbar_v2_1_10_crossbar; + +architecture STRUCTURE of system_design_xbar_1_axi_crossbar_v2_1_10_crossbar is + signal \^q\ : STD_LOGIC_VECTOR ( 68 downto 0 ); + signal \^s_axi_arready\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal aa_mi_artarget_hot : STD_LOGIC_VECTOR ( 2 to 2 ); + signal aa_mi_arvalid : STD_LOGIC; + signal aa_mi_awtarget_hot : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal aa_sa_awvalid : STD_LOGIC; + signal addr_arbiter_ar_n_2 : STD_LOGIC; + signal addr_arbiter_ar_n_4 : STD_LOGIC; + signal addr_arbiter_ar_n_6 : STD_LOGIC; + signal addr_arbiter_ar_n_9 : STD_LOGIC; + signal addr_arbiter_aw_n_10 : STD_LOGIC; + signal addr_arbiter_aw_n_12 : STD_LOGIC; + signal addr_arbiter_aw_n_2 : STD_LOGIC; + signal addr_arbiter_aw_n_3 : STD_LOGIC; + signal addr_arbiter_aw_n_7 : STD_LOGIC; + signal addr_arbiter_aw_n_8 : STD_LOGIC; + signal addr_arbiter_aw_n_9 : STD_LOGIC; + signal aresetn_d : STD_LOGIC; + signal \gen_master_slots[0].reg_slice_mi_n_4\ : STD_LOGIC; + signal \gen_master_slots[0].reg_slice_mi_n_5\ : STD_LOGIC; + signal \gen_master_slots[0].reg_slice_mi_n_54\ : STD_LOGIC; + signal \gen_master_slots[0].reg_slice_mi_n_6\ : STD_LOGIC; + signal \gen_master_slots[1].reg_slice_mi_n_54\ : STD_LOGIC; + signal \gen_master_slots[2].reg_slice_mi_n_1\ : STD_LOGIC; + signal \gen_master_slots[2].reg_slice_mi_n_12\ : STD_LOGIC; + signal \gen_master_slots[2].reg_slice_mi_n_19\ : STD_LOGIC; + signal \gen_master_slots[2].reg_slice_mi_n_20\ : STD_LOGIC; + signal \gen_master_slots[2].reg_slice_mi_n_21\ : STD_LOGIC; + signal \gen_master_slots[2].reg_slice_mi_n_22\ : STD_LOGIC; + signal \gen_master_slots[2].reg_slice_mi_n_23\ : STD_LOGIC; + signal \gen_master_slots[2].reg_slice_mi_n_24\ : STD_LOGIC; + signal \gen_master_slots[2].reg_slice_mi_n_38\ : STD_LOGIC; + signal \gen_master_slots[2].reg_slice_mi_n_5\ : STD_LOGIC; + signal \gen_multi_thread.arbiter_resp_inst/chosen\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \gen_multi_thread.arbiter_resp_inst/chosen_1\ : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal \gen_slave_slots[0].gen_si_read.si_transactor_ar_n_0\ : STD_LOGIC; + signal \gen_slave_slots[0].gen_si_read.si_transactor_ar_n_2\ : STD_LOGIC; + signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_1\ : STD_LOGIC; + signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17\ : STD_LOGIC; + signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_2\ : STD_LOGIC; + signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_3\ : STD_LOGIC; + signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_4\ : STD_LOGIC; + signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_5\ : STD_LOGIC; + signal \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_6\ : STD_LOGIC; + signal \gen_slave_slots[0].gen_si_write.wdata_router_w_n_3\ : STD_LOGIC; + signal \^m_axi_arqos[7]\ : STD_LOGIC_VECTOR ( 68 downto 0 ); + signal m_ready_d : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal m_ready_d_2 : STD_LOGIC_VECTOR ( 1 to 1 ); + signal m_valid_i : STD_LOGIC; + signal match : STD_LOGIC; + signal match_0 : STD_LOGIC; + signal mi_arready_2 : STD_LOGIC; + signal mi_awready_2 : STD_LOGIC; + signal mi_bready_2 : STD_LOGIC; + signal mi_rready_2 : STD_LOGIC; + signal p_11_in : STD_LOGIC; + signal p_15_in : STD_LOGIC; + signal p_17_in : STD_LOGIC; + signal p_1_in : STD_LOGIC; + signal p_20_in : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal p_21_in : STD_LOGIC; + signal p_24_in : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal p_32_out : STD_LOGIC; + signal p_34_out : STD_LOGIC; + signal p_38_out : STD_LOGIC; + signal p_54_out : STD_LOGIC; + signal p_56_out : STD_LOGIC; + signal p_60_out : STD_LOGIC; + signal p_74_out : STD_LOGIC; + signal p_76_out : STD_LOGIC; + signal p_80_out : STD_LOGIC; + signal r_issuing_cnt : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal reset : STD_LOGIC; + signal \^s_axi_awready[0]\ : STD_LOGIC; + signal s_axi_rvalid_i : STD_LOGIC; + signal splitter_aw_mi_n_0 : STD_LOGIC; + signal ss_aa_awready : STD_LOGIC; + signal ss_wr_awready : STD_LOGIC; + signal ss_wr_awvalid : STD_LOGIC; + signal st_mr_bid : STD_LOGIC_VECTOR ( 35 downto 0 ); + signal st_mr_bmesg : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal st_mr_rid : STD_LOGIC_VECTOR ( 35 downto 0 ); + signal st_mr_rmesg : STD_LOGIC_VECTOR ( 67 downto 0 ); + signal w_issuing_cnt : STD_LOGIC_VECTOR ( 16 downto 0 ); + signal wr_tmp_wready : STD_LOGIC_VECTOR ( 2 to 2 ); + signal write_cs : STD_LOGIC_VECTOR ( 1 to 1 ); +begin + Q(68 downto 0) <= \^q\(68 downto 0); + S_AXI_ARREADY(0) <= \^s_axi_arready\(0); + \m_axi_arqos[7]\(68 downto 0) <= \^m_axi_arqos[7]\(68 downto 0); + \s_axi_awready[0]\ <= \^s_axi_awready[0]\; +addr_arbiter_ar: entity work.system_design_xbar_1_axi_crossbar_v2_1_10_addr_arbiter + port map ( + D(68 downto 12) => \s_axi_arqos[3]\(56 downto 0), + D(11 downto 0) => s_axi_arid(11 downto 0), + SR(0) => reset, + S_AXI_ARREADY(0) => \^s_axi_arready\(0), + aa_mi_arvalid => aa_mi_arvalid, + aclk => aclk, + aresetn_d => aresetn_d, + aresetn_d_reg => \gen_slave_slots[0].gen_si_read.si_transactor_ar_n_0\, + aresetn_d_reg_0 => \gen_slave_slots[0].gen_si_read.si_transactor_ar_n_2\, + \gen_axi.s_axi_rlast_i_reg\ => addr_arbiter_ar_n_9, + \gen_master_slots[0].r_issuing_cnt_reg[0]\ => addr_arbiter_ar_n_4, + \gen_master_slots[0].r_issuing_cnt_reg[1]\ => addr_arbiter_ar_n_2, + \gen_master_slots[2].r_issuing_cnt_reg[16]\ => addr_arbiter_ar_n_6, + \gen_no_arbiter.m_valid_i_reg_0\(0) => aa_mi_artarget_hot(2), + \m_axi_arqos[7]\(68 downto 0) => \^m_axi_arqos[7]\(68 downto 0), + m_axi_arready(0) => m_axi_arready(0), + m_axi_arvalid(0) => m_axi_arvalid(0), + \m_payload_i_reg[34]\ => \gen_master_slots[0].reg_slice_mi_n_54\, + m_valid_i => m_valid_i, + match => match, + mi_arready_2 => mi_arready_2, + p_15_in => p_15_in, + r_issuing_cnt(1 downto 0) => r_issuing_cnt(1 downto 0), + s_axi_rvalid_i => s_axi_rvalid_i + ); +addr_arbiter_aw: entity work.system_design_xbar_1_axi_crossbar_v2_1_10_addr_arbiter_0 + port map ( + D(68 downto 12) => D(56 downto 0), + D(11 downto 0) => s_axi_awid(11 downto 0), + Q(68 downto 0) => \^q\(68 downto 0), + SR(0) => reset, + aa_mi_awtarget_hot(1) => aa_mi_awtarget_hot(2), + aa_mi_awtarget_hot(0) => aa_mi_awtarget_hot(0), + aa_sa_awvalid => aa_sa_awvalid, + aclk => aclk, + aresetn_d => aresetn_d, + aresetn_d_reg => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_4\, + chosen(1) => \gen_multi_thread.arbiter_resp_inst/chosen_1\(2), + chosen(0) => \gen_multi_thread.arbiter_resp_inst/chosen_1\(0), + \gen_master_slots[0].w_issuing_cnt_reg[1]\ => addr_arbiter_aw_n_2, + \gen_master_slots[0].w_issuing_cnt_reg[1]_0\ => addr_arbiter_aw_n_3, + \gen_master_slots[2].w_issuing_cnt_reg[16]\ => addr_arbiter_aw_n_7, + \gen_master_slots[2].w_issuing_cnt_reg[16]_0\ => addr_arbiter_aw_n_12, + \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]\ => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_2\, + \gen_multi_thread.gen_thread_loop[1].active_target_reg[9]_0\ => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_5\, + \gen_multi_thread.gen_thread_loop[7].active_target_reg[57]\ => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_3\, + \gen_no_arbiter.m_target_hot_i_reg[2]_0\ => addr_arbiter_aw_n_10, + \gen_no_arbiter.m_valid_i_reg_0\ => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17\, + \gen_no_arbiter.s_ready_i_reg[0]_0\ => addr_arbiter_aw_n_9, + m_axi_awready(0) => m_axi_awready(0), + m_axi_awvalid(0) => m_axi_awvalid(0), + m_ready_d(0) => m_ready_d_2(1), + m_ready_d_0(0) => m_ready_d(0), + \m_ready_d_reg[1]\ => addr_arbiter_aw_n_8, + match => match_0, + mi_awready_2 => mi_awready_2, + p_38_out => p_38_out, + p_80_out => p_80_out, + s_axi_awvalid(0) => s_axi_awvalid(0), + s_axi_bready(0) => s_axi_bready(0), + ss_aa_awready => ss_aa_awready, + w_issuing_cnt(2) => w_issuing_cnt(16), + w_issuing_cnt(1 downto 0) => w_issuing_cnt(1 downto 0) + ); +aresetn_d_reg: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => aclk, + CE => '1', + D => aresetn, + Q => aresetn_d, + R => '0' + ); +\gen_decerr_slave.decerr_slave_inst\: entity work.system_design_xbar_1_axi_crossbar_v2_1_10_decerr_slave + port map ( + Q(11 downto 0) => p_24_in(11 downto 0), + SR(0) => reset, + aa_mi_arvalid => aa_mi_arvalid, + aa_mi_awtarget_hot(0) => aa_mi_awtarget_hot(2), + aa_sa_awvalid => aa_sa_awvalid, + aclk => aclk, + aresetn_d => aresetn_d, + \gen_axi.s_axi_awready_i_reg_0\ => addr_arbiter_aw_n_7, + \gen_axi.write_cs_reg[1]_0\(0) => write_cs(1), + \gen_no_arbiter.m_mesg_i_reg[11]\(11 downto 0) => \^q\(11 downto 0), + \gen_no_arbiter.m_mesg_i_reg[51]\(19 downto 12) => \^m_axi_arqos[7]\(51 downto 44), + \gen_no_arbiter.m_mesg_i_reg[51]\(11 downto 0) => \^m_axi_arqos[7]\(11 downto 0), + \gen_no_arbiter.m_mesg_i_reg[51]_0\ => addr_arbiter_ar_n_9, + \gen_no_arbiter.m_target_hot_i_reg[2]\(0) => aa_mi_artarget_hot(2), + m_ready_d(0) => m_ready_d_2(1), + m_valid_i_reg => \gen_slave_slots[0].gen_si_write.wdata_router_w_n_3\, + mi_arready_2 => mi_arready_2, + mi_awready_2 => mi_awready_2, + mi_bready_2 => mi_bready_2, + mi_rready_2 => mi_rready_2, + p_15_in => p_15_in, + p_17_in => p_17_in, + p_21_in => p_21_in, + s_axi_rvalid_i => s_axi_rvalid_i, + \skid_buffer_reg[46]\(11 downto 0) => p_20_in(11 downto 0), + wr_tmp_wready(0) => wr_tmp_wready(2) + ); +\gen_master_slots[0].r_issuing_cnt_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => addr_arbiter_ar_n_4, + Q => r_issuing_cnt(0), + R => reset + ); +\gen_master_slots[0].r_issuing_cnt_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => addr_arbiter_ar_n_2, + Q => r_issuing_cnt(1), + R => reset + ); +\gen_master_slots[0].reg_slice_mi\: entity work.system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice + port map ( + D(13 downto 2) => m_axi_bid(11 downto 0), + D(1 downto 0) => m_axi_bresp(1 downto 0), + Q(46 downto 35) => st_mr_rid(11 downto 0), + Q(34) => p_76_out, + Q(33 downto 32) => st_mr_rmesg(1 downto 0), + Q(31 downto 0) => st_mr_rmesg(34 downto 3), + aclk => aclk, + \aresetn_d_reg[1]\ => \gen_master_slots[2].reg_slice_mi_n_1\, + \aresetn_d_reg[1]_0\ => \gen_master_slots[2].reg_slice_mi_n_5\, + chosen(0) => \gen_multi_thread.arbiter_resp_inst/chosen_1\(0), + chosen_0(0) => \gen_multi_thread.arbiter_resp_inst/chosen\(0), + \gen_master_slots[0].r_issuing_cnt_reg[1]\ => \gen_master_slots[0].reg_slice_mi_n_54\, + \gen_master_slots[0].w_issuing_cnt_reg[0]\ => \gen_master_slots[0].reg_slice_mi_n_4\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(13 downto 2) => st_mr_bid(11 downto 0), + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(1 downto 0) => st_mr_bmesg(1 downto 0), + \gen_no_arbiter.m_target_hot_i_reg[2]\ => \gen_master_slots[0].reg_slice_mi_n_5\, + \gen_no_arbiter.m_target_hot_i_reg[2]_0\ => \gen_master_slots[0].reg_slice_mi_n_6\, + m_axi_bready(0) => m_axi_bready(0), + m_axi_bvalid(0) => m_axi_bvalid(0), + m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), + m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), + m_axi_rlast(0) => m_axi_rlast(0), + \m_axi_rready[0]\ => M_AXI_RREADY(0), + m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), + m_axi_rvalid(0) => m_axi_rvalid(0), + \m_ready_d_reg[1]\ => addr_arbiter_aw_n_3, + p_1_in => p_1_in, + p_74_out => p_74_out, + p_80_out => p_80_out, + r_issuing_cnt(1 downto 0) => r_issuing_cnt(1 downto 0), + s_axi_bready(0) => s_axi_bready(0), + s_axi_rready(0) => s_axi_rready(0), + w_issuing_cnt(1 downto 0) => w_issuing_cnt(1 downto 0) + ); +\gen_master_slots[0].w_issuing_cnt_reg[0]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \gen_master_slots[0].reg_slice_mi_n_4\, + Q => w_issuing_cnt(0), + R => reset + ); +\gen_master_slots[0].w_issuing_cnt_reg[1]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => addr_arbiter_aw_n_2, + Q => w_issuing_cnt(1), + R => reset + ); +\gen_master_slots[1].reg_slice_mi\: entity work.system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice_1 + port map ( + D(13 downto 2) => m_axi_bid(23 downto 12), + D(1 downto 0) => m_axi_bresp(3 downto 2), + Q(33 downto 22) => st_mr_rid(23 downto 12), + Q(21) => p_56_out, + Q(20) => st_mr_rmesg(36), + Q(19 downto 15) => st_mr_rmesg(67 downto 63), + Q(14 downto 10) => st_mr_rmesg(61 downto 57), + Q(9) => st_mr_rmesg(55), + Q(8) => st_mr_rmesg(51), + Q(7 downto 4) => st_mr_rmesg(49 downto 46), + Q(3 downto 1) => st_mr_rmesg(44 downto 42), + Q(0) => st_mr_rmesg(39), + aclk => aclk, + aresetn => aresetn, + \aresetn_d_reg[1]\ => \gen_master_slots[1].reg_slice_mi_n_54\, + \aresetn_d_reg[1]_0\ => \gen_master_slots[2].reg_slice_mi_n_1\, + \aresetn_d_reg[1]_1\ => \gen_master_slots[2].reg_slice_mi_n_5\, + chosen(1 downto 0) => \gen_multi_thread.arbiter_resp_inst/chosen_1\(2 downto 1), + chosen_0(1 downto 0) => \gen_multi_thread.arbiter_resp_inst/chosen\(2 downto 1), + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\(11 downto 0) => st_mr_bid(23 downto 12), + m_axi_bready(0) => m_axi_bready(1), + m_axi_bvalid(0) => m_axi_bvalid(1), + m_axi_rdata(31 downto 0) => m_axi_rdata(63 downto 32), + m_axi_rid(11 downto 0) => m_axi_rid(23 downto 12), + m_axi_rlast(0) => m_axi_rlast(1), + \m_axi_rready[1]\ => M_AXI_RREADY(1), + m_axi_rresp(1 downto 0) => m_axi_rresp(3 downto 2), + m_axi_rvalid(0) => m_axi_rvalid(1), + \m_payload_i_reg[1]\(1 downto 0) => st_mr_bmesg(1 downto 0), + \m_payload_i_reg[32]\(12) => st_mr_rmesg(0), + \m_payload_i_reg[32]\(11 downto 10) => st_mr_rmesg(34 downto 33), + \m_payload_i_reg[32]\(9) => st_mr_rmesg(27), + \m_payload_i_reg[32]\(8) => st_mr_rmesg(21), + \m_payload_i_reg[32]\(7 downto 5) => st_mr_rmesg(19 downto 17), + \m_payload_i_reg[32]\(4) => st_mr_rmesg(15), + \m_payload_i_reg[32]\(3) => st_mr_rmesg(10), + \m_payload_i_reg[32]\(2 downto 1) => st_mr_rmesg(6 downto 5), + \m_payload_i_reg[32]\(0) => st_mr_rmesg(3), + p_1_in => p_1_in, + p_32_out => p_32_out, + p_38_out => p_38_out, + p_54_out => p_54_out, + p_60_out => p_60_out, + s_axi_bready(0) => s_axi_bready(0), + s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), + s_axi_rdata(11 downto 10) => s_axi_rdata(31 downto 30), + s_axi_rdata(9) => s_axi_rdata(24), + s_axi_rdata(8) => s_axi_rdata(18), + s_axi_rdata(7 downto 5) => s_axi_rdata(16 downto 14), + s_axi_rdata(4) => s_axi_rdata(12), + s_axi_rdata(3) => s_axi_rdata(7), + s_axi_rdata(2 downto 1) => s_axi_rdata(3 downto 2), + s_axi_rdata(0) => s_axi_rdata(0), + s_axi_rready(0) => s_axi_rready(0), + s_axi_rresp(0) => s_axi_rresp(0) + ); +\gen_master_slots[2].r_issuing_cnt_reg[16]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => \gen_master_slots[2].reg_slice_mi_n_38\, + Q => p_11_in, + R => reset + ); +\gen_master_slots[2].reg_slice_mi\: entity work.system_design_xbar_1_axi_register_slice_v2_1_9_axi_register_slice_2 + port map ( + D(11 downto 0) => p_24_in(11 downto 0), + Q(5 downto 4) => st_mr_bid(35 downto 34), + Q(3) => st_mr_bid(31), + Q(2) => st_mr_bid(28), + Q(1) => st_mr_bid(26), + Q(0) => st_mr_bid(24), + aclk => aclk, + \aresetn_d_reg[0]\ => \gen_master_slots[1].reg_slice_mi_n_54\, + chosen(0) => \gen_multi_thread.arbiter_resp_inst/chosen_1\(2), + chosen_0(0) => \gen_multi_thread.arbiter_resp_inst/chosen\(2), + \chosen_reg[1]\ => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_6\, + \gen_axi.s_axi_rid_i_reg[11]\(11 downto 0) => p_20_in(11 downto 0), + \gen_master_slots[2].r_issuing_cnt_reg[16]\ => \gen_master_slots[2].reg_slice_mi_n_38\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]\ => \gen_master_slots[2].reg_slice_mi_n_12\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_0\ => \gen_master_slots[2].reg_slice_mi_n_19\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_1\ => \gen_master_slots[2].reg_slice_mi_n_20\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_2\ => \gen_master_slots[2].reg_slice_mi_n_21\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_3\ => \gen_master_slots[2].reg_slice_mi_n_22\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_4\ => \gen_master_slots[2].reg_slice_mi_n_23\, + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_5\(12 downto 1) => st_mr_rid(35 downto 24), + \gen_multi_thread.gen_thread_loop[7].active_cnt_reg[58]_5\(0) => p_34_out, + \gen_no_arbiter.m_target_hot_i_reg[2]\ => \gen_master_slots[2].reg_slice_mi_n_24\, + \gen_no_arbiter.m_valid_i_reg\ => addr_arbiter_ar_n_6, + \m_payload_i_reg[11]\(11 downto 10) => st_mr_bid(21 downto 20), + \m_payload_i_reg[11]\(9 downto 8) => st_mr_bid(18 downto 17), + \m_payload_i_reg[11]\(7) => st_mr_bid(15), + \m_payload_i_reg[11]\(6) => st_mr_bid(13), + \m_payload_i_reg[11]\(5 downto 4) => st_mr_bid(9 downto 8), + \m_payload_i_reg[11]\(3 downto 2) => st_mr_bid(6 downto 5), + \m_payload_i_reg[11]\(1) => st_mr_bid(3), + \m_payload_i_reg[11]\(0) => st_mr_bid(1), + m_valid_i_reg => \gen_master_slots[2].reg_slice_mi_n_1\, + mi_bready_2 => mi_bready_2, + mi_rready_2 => mi_rready_2, + p_11_in => p_11_in, + p_15_in => p_15_in, + p_17_in => p_17_in, + p_1_in => p_1_in, + p_21_in => p_21_in, + p_32_out => p_32_out, + p_38_out => p_38_out, + s_axi_bid(5 downto 4) => s_axi_bid(9 downto 8), + s_axi_bid(3 downto 2) => s_axi_bid(6 downto 5), + s_axi_bid(1) => s_axi_bid(3), + s_axi_bid(0) => s_axi_bid(1), + s_axi_bready(0) => s_axi_bready(0), + s_axi_rready(0) => s_axi_rready(0), + s_ready_i_reg => \gen_master_slots[2].reg_slice_mi_n_5\ + ); +\gen_master_slots[2].w_issuing_cnt_reg[16]\: unisim.vcomponents.FDRE + port map ( + C => aclk, + CE => '1', + D => addr_arbiter_aw_n_12, + Q => w_issuing_cnt(16), + R => reset + ); +\gen_slave_slots[0].gen_si_read.si_transactor_ar\: entity work.system_design_xbar_1_axi_crossbar_v2_1_10_si_transactor + port map ( + Q(0) => p_76_out, + SR(0) => reset, + S_AXI_ARREADY(0) => \^s_axi_arready\(0), + aa_mi_arvalid => aa_mi_arvalid, + aclk => aclk, + aresetn_d => aresetn_d, + chosen(2 downto 0) => \gen_multi_thread.arbiter_resp_inst/chosen\(2 downto 0), + \gen_master_slots[0].r_issuing_cnt_reg[0]\ => \gen_master_slots[0].reg_slice_mi_n_6\, + \gen_no_arbiter.m_target_hot_i_reg[2]\ => \gen_slave_slots[0].gen_si_read.si_transactor_ar_n_2\, + \gen_no_arbiter.m_target_hot_i_reg[2]_0\(0) => aa_mi_artarget_hot(2), + \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_slave_slots[0].gen_si_read.si_transactor_ar_n_0\, + \m_payload_i_reg[34]\ => \gen_master_slots[2].reg_slice_mi_n_24\, + \m_payload_i_reg[34]_0\(0) => p_34_out, + \m_payload_i_reg[34]_1\(0) => p_56_out, + m_valid_i => m_valid_i, + match => match, + p_11_in => p_11_in, + p_32_out => p_32_out, + p_54_out => p_54_out, + p_74_out => p_74_out, + s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), + s_axi_arvalid(0) => s_axi_arvalid(0), + s_axi_rdata(19 downto 15) => s_axi_rdata(29 downto 25), + s_axi_rdata(14 downto 10) => s_axi_rdata(23 downto 19), + s_axi_rdata(9) => s_axi_rdata(17), + s_axi_rdata(8) => s_axi_rdata(13), + s_axi_rdata(7 downto 4) => s_axi_rdata(11 downto 8), + s_axi_rdata(3 downto 1) => s_axi_rdata(6 downto 4), + s_axi_rdata(0) => s_axi_rdata(1), + s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), + s_axi_rlast(0) => s_axi_rlast(0), + s_axi_rready(0) => s_axi_rready(0), + s_axi_rresp(0) => s_axi_rresp(1), + s_axi_rvalid(0) => s_axi_rvalid(0), + st_mr_rid(35 downto 0) => st_mr_rid(35 downto 0), + st_mr_rmesg(41 downto 37) => st_mr_rmesg(67 downto 63), + st_mr_rmesg(36 downto 32) => st_mr_rmesg(61 downto 57), + st_mr_rmesg(31) => st_mr_rmesg(55), + st_mr_rmesg(30) => st_mr_rmesg(51), + st_mr_rmesg(29 downto 26) => st_mr_rmesg(49 downto 46), + st_mr_rmesg(25 downto 23) => st_mr_rmesg(44 downto 42), + st_mr_rmesg(22) => st_mr_rmesg(39), + st_mr_rmesg(21) => st_mr_rmesg(36), + st_mr_rmesg(20 downto 16) => st_mr_rmesg(32 downto 28), + st_mr_rmesg(15 downto 11) => st_mr_rmesg(26 downto 22), + st_mr_rmesg(10) => st_mr_rmesg(20), + st_mr_rmesg(9) => st_mr_rmesg(16), + st_mr_rmesg(8 downto 5) => st_mr_rmesg(14 downto 11), + st_mr_rmesg(4 downto 2) => st_mr_rmesg(9 downto 7), + st_mr_rmesg(1) => st_mr_rmesg(4), + st_mr_rmesg(0) => st_mr_rmesg(1) + ); +\gen_slave_slots[0].gen_si_write.si_transactor_aw\: entity work.\system_design_xbar_1_axi_crossbar_v2_1_10_si_transactor__parameterized0\ + port map ( + SR(0) => reset, + aa_mi_awtarget_hot(0) => aa_mi_awtarget_hot(2), + aa_sa_awvalid => aa_sa_awvalid, + aclk => aclk, + aresetn_d => aresetn_d, + chosen(2 downto 0) => \gen_multi_thread.arbiter_resp_inst/chosen_1\(2 downto 0), + \gen_multi_thread.gen_thread_loop[0].active_cnt_reg[2]_0\ => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_6\, + \gen_multi_thread.gen_thread_loop[7].active_target_reg[57]_0\ => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_1\, + \gen_no_arbiter.m_target_hot_i_reg[2]\ => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_3\, + \gen_no_arbiter.m_target_hot_i_reg[2]_0\ => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_4\, + \gen_no_arbiter.m_target_hot_i_reg[2]_1\ => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_5\, + \gen_no_arbiter.m_valid_i_reg\ => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_17\, + \gen_no_arbiter.s_ready_i_reg[0]\ => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_2\, + \gen_no_arbiter.s_ready_i_reg[0]_0\ => addr_arbiter_aw_n_9, + \gen_no_arbiter.s_ready_i_reg[0]_1\ => \^s_axi_awready[0]\, + \m_payload_i_reg[10]\ => \gen_master_slots[2].reg_slice_mi_n_22\, + \m_payload_i_reg[11]\ => \gen_master_slots[2].reg_slice_mi_n_23\, + \m_payload_i_reg[3]\ => \gen_master_slots[2].reg_slice_mi_n_12\, + \m_payload_i_reg[5]\ => \gen_master_slots[2].reg_slice_mi_n_19\, + \m_payload_i_reg[7]\ => \gen_master_slots[2].reg_slice_mi_n_20\, + \m_payload_i_reg[8]\ => \gen_master_slots[2].reg_slice_mi_n_21\, + \m_ready_d_reg[1]\ => splitter_aw_mi_n_0, + m_valid_i_reg => \gen_master_slots[0].reg_slice_mi_n_5\, + match => match_0, + p_38_out => p_38_out, + p_60_out => p_60_out, + p_80_out => p_80_out, + \s_axi_awaddr[25]\ => addr_arbiter_aw_n_10, + s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), + s_axi_bid(5 downto 4) => s_axi_bid(11 downto 10), + s_axi_bid(3) => s_axi_bid(7), + s_axi_bid(2) => s_axi_bid(4), + s_axi_bid(1) => s_axi_bid(2), + s_axi_bid(0) => s_axi_bid(0), + s_axi_bready(0) => s_axi_bready(0), + s_axi_bvalid(0) => s_axi_bvalid(0), + st_mr_bid(17 downto 16) => st_mr_bid(35 downto 34), + st_mr_bid(15) => st_mr_bid(31), + st_mr_bid(14) => st_mr_bid(28), + st_mr_bid(13) => st_mr_bid(26), + st_mr_bid(12 downto 10) => st_mr_bid(24 downto 22), + st_mr_bid(9) => st_mr_bid(19), + st_mr_bid(8) => st_mr_bid(16), + st_mr_bid(7) => st_mr_bid(14), + st_mr_bid(6 downto 4) => st_mr_bid(12 downto 10), + st_mr_bid(3) => st_mr_bid(7), + st_mr_bid(2) => st_mr_bid(4), + st_mr_bid(1) => st_mr_bid(2), + st_mr_bid(0) => st_mr_bid(0), + w_issuing_cnt(2) => w_issuing_cnt(16), + w_issuing_cnt(1 downto 0) => w_issuing_cnt(1 downto 0) + ); +\gen_slave_slots[0].gen_si_write.splitter_aw_si\: entity work.system_design_xbar_1_axi_crossbar_v2_1_10_splitter + port map ( + aclk => aclk, + aresetn_d => aresetn_d, + m_ready_d(1 downto 0) => m_ready_d(1 downto 0), + \s_axi_awready[0]\ => \^s_axi_awready[0]\, + s_axi_awvalid(0) => s_axi_awvalid(0), + ss_aa_awready => ss_aa_awready, + ss_wr_awready => ss_wr_awready, + ss_wr_awvalid => ss_wr_awvalid + ); +\gen_slave_slots[0].gen_si_write.wdata_router_w\: entity work.system_design_xbar_1_axi_crossbar_v2_1_10_wdata_router + port map ( + SR(0) => reset, + aclk => aclk, + \gen_axi.write_cs_reg[1]\ => \gen_slave_slots[0].gen_si_write.wdata_router_w_n_3\, + \gen_axi.write_cs_reg[1]_0\(0) => write_cs(1), + m_axi_wready(1 downto 0) => m_axi_wready(1 downto 0), + m_axi_wvalid(1 downto 0) => m_axi_wvalid(1 downto 0), + m_ready_d(0) => m_ready_d(1), + match => match_0, + \s_axi_awaddr[20]\ => \gen_slave_slots[0].gen_si_write.si_transactor_aw_n_1\, + s_axi_awvalid(0) => s_axi_awvalid(0), + s_axi_wlast(0) => s_axi_wlast(0), + s_axi_wready(0) => s_axi_wready(0), + s_axi_wvalid(0) => s_axi_wvalid(0), + ss_wr_awready => ss_wr_awready, + ss_wr_awvalid => ss_wr_awvalid, + wr_tmp_wready(0) => wr_tmp_wready(2) + ); +splitter_aw_mi: entity work.system_design_xbar_1_axi_crossbar_v2_1_10_splitter_3 + port map ( + aa_mi_awtarget_hot(1) => aa_mi_awtarget_hot(2), + aa_mi_awtarget_hot(0) => aa_mi_awtarget_hot(0), + aa_sa_awvalid => aa_sa_awvalid, + aclk => aclk, + aresetn_d => aresetn_d, + \gen_axi.s_axi_awready_i_reg\ => addr_arbiter_aw_n_8, + m_axi_awready(0) => m_axi_awready(0), + \m_ready_d_reg[1]_0\ => splitter_aw_mi_n_0, + \m_ready_d_reg[1]_1\(0) => m_ready_d_2(1), + mi_awready_2 => mi_awready_2 + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar is + port ( + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awid : out STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_awaddr : out STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_awlen : out STD_LOGIC_VECTOR ( 15 downto 0 ); + m_axi_awsize : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_awburst : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awlock : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awcache : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awprot : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_awregion : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awqos : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awuser : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_wid : out STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_wlast : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_wuser : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bid : in STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_bresp : in STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_buser : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bready : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arid : out STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_araddr : out STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_arlen : out STD_LOGIC_VECTOR ( 15 downto 0 ); + m_axi_arsize : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_arburst : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arlock : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arcache : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arprot : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_arregion : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arqos : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_aruser : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rid : in STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_rlast : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_ruser : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rready : out STD_LOGIC_VECTOR ( 1 downto 0 ) + ); + attribute C_AXI_ADDR_WIDTH : integer; + attribute C_AXI_ADDR_WIDTH of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 32; + attribute C_AXI_ARUSER_WIDTH : integer; + attribute C_AXI_ARUSER_WIDTH of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 1; + attribute C_AXI_AWUSER_WIDTH : integer; + attribute C_AXI_AWUSER_WIDTH of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 1; + attribute C_AXI_BUSER_WIDTH : integer; + attribute C_AXI_BUSER_WIDTH of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 1; + attribute C_AXI_DATA_WIDTH : integer; + attribute C_AXI_DATA_WIDTH of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 32; + attribute C_AXI_ID_WIDTH : integer; + attribute C_AXI_ID_WIDTH of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 12; + attribute C_AXI_PROTOCOL : integer; + attribute C_AXI_PROTOCOL of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 0; + attribute C_AXI_RUSER_WIDTH : integer; + attribute C_AXI_RUSER_WIDTH of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 1; + attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; + attribute C_AXI_SUPPORTS_USER_SIGNALS of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 0; + attribute C_AXI_WUSER_WIDTH : integer; + attribute C_AXI_WUSER_WIDTH of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 1; + attribute C_CONNECTIVITY_MODE : integer; + attribute C_CONNECTIVITY_MODE of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 1; + attribute C_DEBUG : integer; + attribute C_DEBUG of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 1; + attribute C_FAMILY : string; + attribute C_FAMILY of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "zynq"; + attribute C_M_AXI_ADDR_WIDTH : string; + attribute C_M_AXI_ADDR_WIDTH of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "64'b0000000000000000000000000000000000000000000000000000000000010000"; + attribute C_M_AXI_BASE_ADDR : string; + attribute C_M_AXI_BASE_ADDR of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "128'b11111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000010000000000000000000000000000000"; + attribute C_M_AXI_READ_CONNECTIVITY : string; + attribute C_M_AXI_READ_CONNECTIVITY of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "64'b1111111111111111111111111111111111111111111111111111111111111111"; + attribute C_M_AXI_READ_ISSUING : string; + attribute C_M_AXI_READ_ISSUING of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "64'b0000000000000000000000000000100000000000000000000000000000000010"; + attribute C_M_AXI_SECURE : string; + attribute C_M_AXI_SECURE of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "64'b0000000000000000000000000000000000000000000000000000000000000000"; + attribute C_M_AXI_WRITE_CONNECTIVITY : string; + attribute C_M_AXI_WRITE_CONNECTIVITY of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "64'b1111111111111111111111111111111111111111111111111111111111111111"; + attribute C_M_AXI_WRITE_ISSUING : string; + attribute C_M_AXI_WRITE_ISSUING of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "64'b0000000000000000000000000000100000000000000000000000000000000010"; + attribute C_NUM_ADDR_RANGES : integer; + attribute C_NUM_ADDR_RANGES of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 1; + attribute C_NUM_MASTER_SLOTS : integer; + attribute C_NUM_MASTER_SLOTS of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 2; + attribute C_NUM_SLAVE_SLOTS : integer; + attribute C_NUM_SLAVE_SLOTS of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 1; + attribute C_R_REGISTER : integer; + attribute C_R_REGISTER of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 0; + attribute C_S_AXI_ARB_PRIORITY : integer; + attribute C_S_AXI_ARB_PRIORITY of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 0; + attribute C_S_AXI_BASE_ID : integer; + attribute C_S_AXI_BASE_ID of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 0; + attribute C_S_AXI_READ_ACCEPTANCE : integer; + attribute C_S_AXI_READ_ACCEPTANCE of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 8; + attribute C_S_AXI_SINGLE_THREAD : integer; + attribute C_S_AXI_SINGLE_THREAD of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 0; + attribute C_S_AXI_THREAD_ID_WIDTH : integer; + attribute C_S_AXI_THREAD_ID_WIDTH of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 12; + attribute C_S_AXI_WRITE_ACCEPTANCE : integer; + attribute C_S_AXI_WRITE_ACCEPTANCE of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 8; + attribute DowngradeIPIdentifiedWarnings : string; + attribute DowngradeIPIdentifiedWarnings of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "yes"; + attribute ORIG_REF_NAME : string; + attribute ORIG_REF_NAME of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "axi_crossbar_v2_1_10_axi_crossbar"; + attribute P_ADDR_DECODE : integer; + attribute P_ADDR_DECODE of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 1; + attribute P_AXI3 : integer; + attribute P_AXI3 of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 1; + attribute P_AXI4 : integer; + attribute P_AXI4 of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 0; + attribute P_AXILITE : integer; + attribute P_AXILITE of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 2; + attribute P_AXILITE_SIZE : string; + attribute P_AXILITE_SIZE of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "3'b010"; + attribute P_FAMILY : string; + attribute P_FAMILY of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "zynq"; + attribute P_INCR : string; + attribute P_INCR of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "2'b01"; + attribute P_LEN : integer; + attribute P_LEN of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 8; + attribute P_LOCK : integer; + attribute P_LOCK of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 1; + attribute P_M_AXI_ERR_MODE : string; + attribute P_M_AXI_ERR_MODE of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "64'b0000000000000000000000000000000000000000000000000000000000000000"; + attribute P_M_AXI_SUPPORTS_READ : string; + attribute P_M_AXI_SUPPORTS_READ of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "2'b11"; + attribute P_M_AXI_SUPPORTS_WRITE : string; + attribute P_M_AXI_SUPPORTS_WRITE of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "2'b11"; + attribute P_ONES : string; + attribute P_ONES of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "65'b11111111111111111111111111111111111111111111111111111111111111111"; + attribute P_RANGE_CHECK : integer; + attribute P_RANGE_CHECK of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is 1; + attribute P_S_AXI_BASE_ID : string; + attribute P_S_AXI_BASE_ID of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "64'b0000000000000000000000000000000000000000000000000000000000000000"; + attribute P_S_AXI_HIGH_ID : string; + attribute P_S_AXI_HIGH_ID of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "64'b0000000000000000000000000000000000000000000000000000111111111111"; + attribute P_S_AXI_SUPPORTS_READ : string; + attribute P_S_AXI_SUPPORTS_READ of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "1'b1"; + attribute P_S_AXI_SUPPORTS_WRITE : string; + attribute P_S_AXI_SUPPORTS_WRITE of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar : entity is "1'b1"; +end system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar; + +architecture STRUCTURE of system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar is + signal \<const0>\ : STD_LOGIC; + signal \^m_axi_araddr\ : STD_LOGIC_VECTOR ( 63 downto 32 ); + signal \^m_axi_arburst\ : STD_LOGIC_VECTOR ( 3 downto 2 ); + signal \^m_axi_arcache\ : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal \^m_axi_arid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \^m_axi_arlen\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \^m_axi_arlock\ : STD_LOGIC_VECTOR ( 1 to 1 ); + signal \^m_axi_arprot\ : STD_LOGIC_VECTOR ( 5 downto 3 ); + signal \^m_axi_arqos\ : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal \^m_axi_arsize\ : STD_LOGIC_VECTOR ( 5 downto 3 ); + signal \^m_axi_arvalid\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^m_axi_awaddr\ : STD_LOGIC_VECTOR ( 63 downto 32 ); + signal \^m_axi_awburst\ : STD_LOGIC_VECTOR ( 3 downto 2 ); + signal \^m_axi_awcache\ : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal \^m_axi_awid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \^m_axi_awlen\ : STD_LOGIC_VECTOR ( 15 downto 8 ); + signal \^m_axi_awlock\ : STD_LOGIC_VECTOR ( 1 to 1 ); + signal \^m_axi_awprot\ : STD_LOGIC_VECTOR ( 5 downto 3 ); + signal \^m_axi_awqos\ : STD_LOGIC_VECTOR ( 7 downto 4 ); + signal \^m_axi_awsize\ : STD_LOGIC_VECTOR ( 5 downto 3 ); + signal \^m_axi_awvalid\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \^s_axi_wlast\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); +begin + \^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0); + \^s_axi_wlast\(0) <= s_axi_wlast(0); + \^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0); + m_axi_araddr(63 downto 32) <= \^m_axi_araddr\(63 downto 32); + m_axi_araddr(31 downto 0) <= \^m_axi_araddr\(63 downto 32); + m_axi_arburst(3 downto 2) <= \^m_axi_arburst\(3 downto 2); + m_axi_arburst(1 downto 0) <= \^m_axi_arburst\(3 downto 2); + m_axi_arcache(7 downto 4) <= \^m_axi_arcache\(7 downto 4); + m_axi_arcache(3 downto 0) <= \^m_axi_arcache\(7 downto 4); + m_axi_arid(23 downto 12) <= \^m_axi_arid\(11 downto 0); + m_axi_arid(11 downto 0) <= \^m_axi_arid\(11 downto 0); + m_axi_arlen(15 downto 8) <= \^m_axi_arlen\(7 downto 0); + m_axi_arlen(7 downto 0) <= \^m_axi_arlen\(7 downto 0); + m_axi_arlock(1) <= \^m_axi_arlock\(1); + m_axi_arlock(0) <= \^m_axi_arlock\(1); + m_axi_arprot(5 downto 3) <= \^m_axi_arprot\(5 downto 3); + m_axi_arprot(2 downto 0) <= \^m_axi_arprot\(5 downto 3); + m_axi_arqos(7 downto 4) <= \^m_axi_arqos\(7 downto 4); + m_axi_arqos(3 downto 0) <= \^m_axi_arqos\(7 downto 4); + m_axi_arregion(7) <= \<const0>\; + m_axi_arregion(6) <= \<const0>\; + m_axi_arregion(5) <= \<const0>\; + m_axi_arregion(4) <= \<const0>\; + m_axi_arregion(3) <= \<const0>\; + m_axi_arregion(2) <= \<const0>\; + m_axi_arregion(1) <= \<const0>\; + m_axi_arregion(0) <= \<const0>\; + m_axi_arsize(5 downto 3) <= \^m_axi_arsize\(5 downto 3); + m_axi_arsize(2 downto 0) <= \^m_axi_arsize\(5 downto 3); + m_axi_aruser(1) <= \<const0>\; + m_axi_aruser(0) <= \<const0>\; + m_axi_arvalid(1) <= \<const0>\; + m_axi_arvalid(0) <= \^m_axi_arvalid\(0); + m_axi_awaddr(63 downto 32) <= \^m_axi_awaddr\(63 downto 32); + m_axi_awaddr(31 downto 0) <= \^m_axi_awaddr\(63 downto 32); + m_axi_awburst(3 downto 2) <= \^m_axi_awburst\(3 downto 2); + m_axi_awburst(1 downto 0) <= \^m_axi_awburst\(3 downto 2); + m_axi_awcache(7 downto 4) <= \^m_axi_awcache\(7 downto 4); + m_axi_awcache(3 downto 0) <= \^m_axi_awcache\(7 downto 4); + m_axi_awid(23 downto 12) <= \^m_axi_awid\(11 downto 0); + m_axi_awid(11 downto 0) <= \^m_axi_awid\(11 downto 0); + m_axi_awlen(15 downto 8) <= \^m_axi_awlen\(15 downto 8); + m_axi_awlen(7 downto 0) <= \^m_axi_awlen\(15 downto 8); + m_axi_awlock(1) <= \^m_axi_awlock\(1); + m_axi_awlock(0) <= \^m_axi_awlock\(1); + m_axi_awprot(5 downto 3) <= \^m_axi_awprot\(5 downto 3); + m_axi_awprot(2 downto 0) <= \^m_axi_awprot\(5 downto 3); + m_axi_awqos(7 downto 4) <= \^m_axi_awqos\(7 downto 4); + m_axi_awqos(3 downto 0) <= \^m_axi_awqos\(7 downto 4); + m_axi_awregion(7) <= \<const0>\; + m_axi_awregion(6) <= \<const0>\; + m_axi_awregion(5) <= \<const0>\; + m_axi_awregion(4) <= \<const0>\; + m_axi_awregion(3) <= \<const0>\; + m_axi_awregion(2) <= \<const0>\; + m_axi_awregion(1) <= \<const0>\; + m_axi_awregion(0) <= \<const0>\; + m_axi_awsize(5 downto 3) <= \^m_axi_awsize\(5 downto 3); + m_axi_awsize(2 downto 0) <= \^m_axi_awsize\(5 downto 3); + m_axi_awuser(1) <= \<const0>\; + m_axi_awuser(0) <= \<const0>\; + m_axi_awvalid(1) <= \<const0>\; + m_axi_awvalid(0) <= \^m_axi_awvalid\(0); + m_axi_wdata(63 downto 32) <= \^s_axi_wdata\(31 downto 0); + m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0); + m_axi_wid(23) <= \<const0>\; + m_axi_wid(22) <= \<const0>\; + m_axi_wid(21) <= \<const0>\; + m_axi_wid(20) <= \<const0>\; + m_axi_wid(19) <= \<const0>\; + m_axi_wid(18) <= \<const0>\; + m_axi_wid(17) <= \<const0>\; + m_axi_wid(16) <= \<const0>\; + m_axi_wid(15) <= \<const0>\; + m_axi_wid(14) <= \<const0>\; + m_axi_wid(13) <= \<const0>\; + m_axi_wid(12) <= \<const0>\; + m_axi_wid(11) <= \<const0>\; + m_axi_wid(10) <= \<const0>\; + m_axi_wid(9) <= \<const0>\; + m_axi_wid(8) <= \<const0>\; + m_axi_wid(7) <= \<const0>\; + m_axi_wid(6) <= \<const0>\; + m_axi_wid(5) <= \<const0>\; + m_axi_wid(4) <= \<const0>\; + m_axi_wid(3) <= \<const0>\; + m_axi_wid(2) <= \<const0>\; + m_axi_wid(1) <= \<const0>\; + m_axi_wid(0) <= \<const0>\; + m_axi_wlast(1) <= \^s_axi_wlast\(0); + m_axi_wlast(0) <= \^s_axi_wlast\(0); + m_axi_wstrb(7 downto 4) <= \^s_axi_wstrb\(3 downto 0); + m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0); + m_axi_wuser(1) <= \<const0>\; + m_axi_wuser(0) <= \<const0>\; + s_axi_buser(0) <= \<const0>\; + s_axi_ruser(0) <= \<const0>\; +GND: unisim.vcomponents.GND + port map ( + G => \<const0>\ + ); +\gen_samd.crossbar_samd\: entity work.system_design_xbar_1_axi_crossbar_v2_1_10_crossbar + port map ( + D(56 downto 53) => s_axi_awqos(3 downto 0), + D(52 downto 49) => s_axi_awcache(3 downto 0), + D(48 downto 47) => s_axi_awburst(1 downto 0), + D(46 downto 44) => s_axi_awprot(2 downto 0), + D(43) => s_axi_awlock(0), + D(42 downto 40) => s_axi_awsize(2 downto 0), + D(39 downto 32) => s_axi_awlen(7 downto 0), + D(31 downto 0) => s_axi_awaddr(31 downto 0), + M_AXI_RREADY(1 downto 0) => m_axi_rready(1 downto 0), + Q(68 downto 65) => \^m_axi_awqos\(7 downto 4), + Q(64 downto 61) => \^m_axi_awcache\(7 downto 4), + Q(60 downto 59) => \^m_axi_awburst\(3 downto 2), + Q(58 downto 56) => \^m_axi_awprot\(5 downto 3), + Q(55) => \^m_axi_awlock\(1), + Q(54 downto 52) => \^m_axi_awsize\(5 downto 3), + Q(51 downto 44) => \^m_axi_awlen\(15 downto 8), + Q(43 downto 12) => \^m_axi_awaddr\(63 downto 32), + Q(11 downto 0) => \^m_axi_awid\(11 downto 0), + S_AXI_ARREADY(0) => s_axi_arready(0), + aclk => aclk, + aresetn => aresetn, + \m_axi_arqos[7]\(68 downto 65) => \^m_axi_arqos\(7 downto 4), + \m_axi_arqos[7]\(64 downto 61) => \^m_axi_arcache\(7 downto 4), + \m_axi_arqos[7]\(60 downto 59) => \^m_axi_arburst\(3 downto 2), + \m_axi_arqos[7]\(58 downto 56) => \^m_axi_arprot\(5 downto 3), + \m_axi_arqos[7]\(55) => \^m_axi_arlock\(1), + \m_axi_arqos[7]\(54 downto 52) => \^m_axi_arsize\(5 downto 3), + \m_axi_arqos[7]\(51 downto 44) => \^m_axi_arlen\(7 downto 0), + \m_axi_arqos[7]\(43 downto 12) => \^m_axi_araddr\(63 downto 32), + \m_axi_arqos[7]\(11 downto 0) => \^m_axi_arid\(11 downto 0), + m_axi_arready(0) => m_axi_arready(0), + m_axi_arvalid(0) => \^m_axi_arvalid\(0), + m_axi_awready(0) => m_axi_awready(0), + m_axi_awvalid(0) => \^m_axi_awvalid\(0), + m_axi_bid(23 downto 0) => m_axi_bid(23 downto 0), + m_axi_bready(1 downto 0) => m_axi_bready(1 downto 0), + m_axi_bresp(3 downto 0) => m_axi_bresp(3 downto 0), + m_axi_bvalid(1 downto 0) => m_axi_bvalid(1 downto 0), + m_axi_rdata(63 downto 0) => m_axi_rdata(63 downto 0), + m_axi_rid(23 downto 0) => m_axi_rid(23 downto 0), + m_axi_rlast(1 downto 0) => m_axi_rlast(1 downto 0), + m_axi_rresp(3 downto 0) => m_axi_rresp(3 downto 0), + m_axi_rvalid(1 downto 0) => m_axi_rvalid(1 downto 0), + m_axi_wready(1 downto 0) => m_axi_wready(1 downto 0), + m_axi_wvalid(1 downto 0) => m_axi_wvalid(1 downto 0), + s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), + \s_axi_arqos[3]\(56 downto 53) => s_axi_arqos(3 downto 0), + \s_axi_arqos[3]\(52 downto 49) => s_axi_arcache(3 downto 0), + \s_axi_arqos[3]\(48 downto 47) => s_axi_arburst(1 downto 0), + \s_axi_arqos[3]\(46 downto 44) => s_axi_arprot(2 downto 0), + \s_axi_arqos[3]\(43) => s_axi_arlock(0), + \s_axi_arqos[3]\(42 downto 40) => s_axi_arsize(2 downto 0), + \s_axi_arqos[3]\(39 downto 32) => s_axi_arlen(7 downto 0), + \s_axi_arqos[3]\(31 downto 0) => s_axi_araddr(31 downto 0), + s_axi_arvalid(0) => s_axi_arvalid(0), + s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), + \s_axi_awready[0]\ => s_axi_awready(0), + s_axi_awvalid(0) => s_axi_awvalid(0), + s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), + s_axi_bready(0) => s_axi_bready(0), + s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), + s_axi_bvalid(0) => s_axi_bvalid(0), + s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), + s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), + s_axi_rlast(0) => s_axi_rlast(0), + s_axi_rready(0) => s_axi_rready(0), + s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), + s_axi_rvalid(0) => s_axi_rvalid(0), + s_axi_wlast(0) => \^s_axi_wlast\(0), + s_axi_wready(0) => s_axi_wready(0), + s_axi_wvalid(0) => s_axi_wvalid(0) + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity system_design_xbar_1 is + port ( + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awid : out STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_awaddr : out STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_awlen : out STD_LOGIC_VECTOR ( 15 downto 0 ); + m_axi_awsize : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_awburst : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awlock : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awcache : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awprot : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_awregion : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awqos : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_wlast : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bid : in STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_bresp : in STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bready : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arid : out STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_araddr : out STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_arlen : out STD_LOGIC_VECTOR ( 15 downto 0 ); + m_axi_arsize : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_arburst : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arlock : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arcache : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arprot : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_arregion : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arqos : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rid : in STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_rlast : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rready : out STD_LOGIC_VECTOR ( 1 downto 0 ) + ); + attribute NotValidForBitStream : boolean; + attribute NotValidForBitStream of system_design_xbar_1 : entity is true; + attribute CHECK_LICENSE_TYPE : string; + attribute CHECK_LICENSE_TYPE of system_design_xbar_1 : entity is "system_design_xbar_1,axi_crossbar_v2_1_10_axi_crossbar,{}"; + attribute DowngradeIPIdentifiedWarnings : string; + attribute DowngradeIPIdentifiedWarnings of system_design_xbar_1 : entity is "yes"; + attribute X_CORE_INFO : string; + attribute X_CORE_INFO of system_design_xbar_1 : entity is "axi_crossbar_v2_1_10_axi_crossbar,Vivado 2016.2"; +end system_design_xbar_1; + +architecture STRUCTURE of system_design_xbar_1 is + signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 23 downto 0 ); + signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + attribute C_AXI_ADDR_WIDTH : integer; + attribute C_AXI_ADDR_WIDTH of inst : label is 32; + attribute C_AXI_ARUSER_WIDTH : integer; + attribute C_AXI_ARUSER_WIDTH of inst : label is 1; + attribute C_AXI_AWUSER_WIDTH : integer; + attribute C_AXI_AWUSER_WIDTH of inst : label is 1; + attribute C_AXI_BUSER_WIDTH : integer; + attribute C_AXI_BUSER_WIDTH of inst : label is 1; + attribute C_AXI_DATA_WIDTH : integer; + attribute C_AXI_DATA_WIDTH of inst : label is 32; + attribute C_AXI_ID_WIDTH : integer; + attribute C_AXI_ID_WIDTH of inst : label is 12; + attribute C_AXI_PROTOCOL : integer; + attribute C_AXI_PROTOCOL of inst : label is 0; + attribute C_AXI_RUSER_WIDTH : integer; + attribute C_AXI_RUSER_WIDTH of inst : label is 1; + attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; + attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; + attribute C_AXI_WUSER_WIDTH : integer; + attribute C_AXI_WUSER_WIDTH of inst : label is 1; + attribute C_CONNECTIVITY_MODE : integer; + attribute C_CONNECTIVITY_MODE of inst : label is 1; + attribute C_DEBUG : integer; + attribute C_DEBUG of inst : label is 1; + attribute C_FAMILY : string; + attribute C_FAMILY of inst : label is "zynq"; + attribute C_M_AXI_ADDR_WIDTH : string; + attribute C_M_AXI_ADDR_WIDTH of inst : label is "64'b0000000000000000000000000000000000000000000000000000000000010000"; + attribute C_M_AXI_BASE_ADDR : string; + attribute C_M_AXI_BASE_ADDR of inst : label is "128'b11111111111111111111111111111111111111111111111111111111111111110000000000000000000000000000000010000000000000000000000000000000"; + attribute C_M_AXI_READ_CONNECTIVITY : string; + attribute C_M_AXI_READ_CONNECTIVITY of inst : label is "64'b1111111111111111111111111111111111111111111111111111111111111111"; + attribute C_M_AXI_READ_ISSUING : string; + attribute C_M_AXI_READ_ISSUING of inst : label is "64'b0000000000000000000000000000100000000000000000000000000000000010"; + attribute C_M_AXI_SECURE : string; + attribute C_M_AXI_SECURE of inst : label is "64'b0000000000000000000000000000000000000000000000000000000000000000"; + attribute C_M_AXI_WRITE_CONNECTIVITY : string; + attribute C_M_AXI_WRITE_CONNECTIVITY of inst : label is "64'b1111111111111111111111111111111111111111111111111111111111111111"; + attribute C_M_AXI_WRITE_ISSUING : string; + attribute C_M_AXI_WRITE_ISSUING of inst : label is "64'b0000000000000000000000000000100000000000000000000000000000000010"; + attribute C_NUM_ADDR_RANGES : integer; + attribute C_NUM_ADDR_RANGES of inst : label is 1; + attribute C_NUM_MASTER_SLOTS : integer; + attribute C_NUM_MASTER_SLOTS of inst : label is 2; + attribute C_NUM_SLAVE_SLOTS : integer; + attribute C_NUM_SLAVE_SLOTS of inst : label is 1; + attribute C_R_REGISTER : integer; + attribute C_R_REGISTER of inst : label is 0; + attribute C_S_AXI_ARB_PRIORITY : integer; + attribute C_S_AXI_ARB_PRIORITY of inst : label is 0; + attribute C_S_AXI_BASE_ID : integer; + attribute C_S_AXI_BASE_ID of inst : label is 0; + attribute C_S_AXI_READ_ACCEPTANCE : integer; + attribute C_S_AXI_READ_ACCEPTANCE of inst : label is 8; + attribute C_S_AXI_SINGLE_THREAD : integer; + attribute C_S_AXI_SINGLE_THREAD of inst : label is 0; + attribute C_S_AXI_THREAD_ID_WIDTH : integer; + attribute C_S_AXI_THREAD_ID_WIDTH of inst : label is 12; + attribute C_S_AXI_WRITE_ACCEPTANCE : integer; + attribute C_S_AXI_WRITE_ACCEPTANCE of inst : label is 8; + attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; + attribute P_ADDR_DECODE : integer; + attribute P_ADDR_DECODE of inst : label is 1; + attribute P_AXI3 : integer; + attribute P_AXI3 of inst : label is 1; + attribute P_AXI4 : integer; + attribute P_AXI4 of inst : label is 0; + attribute P_AXILITE : integer; + attribute P_AXILITE of inst : label is 2; + attribute P_AXILITE_SIZE : string; + attribute P_AXILITE_SIZE of inst : label is "3'b010"; + attribute P_FAMILY : string; + attribute P_FAMILY of inst : label is "zynq"; + attribute P_INCR : string; + attribute P_INCR of inst : label is "2'b01"; + attribute P_LEN : integer; + attribute P_LEN of inst : label is 8; + attribute P_LOCK : integer; + attribute P_LOCK of inst : label is 1; + attribute P_M_AXI_ERR_MODE : string; + attribute P_M_AXI_ERR_MODE of inst : label is "64'b0000000000000000000000000000000000000000000000000000000000000000"; + attribute P_M_AXI_SUPPORTS_READ : string; + attribute P_M_AXI_SUPPORTS_READ of inst : label is "2'b11"; + attribute P_M_AXI_SUPPORTS_WRITE : string; + attribute P_M_AXI_SUPPORTS_WRITE of inst : label is "2'b11"; + attribute P_ONES : string; + attribute P_ONES of inst : label is "65'b11111111111111111111111111111111111111111111111111111111111111111"; + attribute P_RANGE_CHECK : integer; + attribute P_RANGE_CHECK of inst : label is 1; + attribute P_S_AXI_BASE_ID : string; + attribute P_S_AXI_BASE_ID of inst : label is "64'b0000000000000000000000000000000000000000000000000000000000000000"; + attribute P_S_AXI_HIGH_ID : string; + attribute P_S_AXI_HIGH_ID of inst : label is "64'b0000000000000000000000000000000000000000000000000000111111111111"; + attribute P_S_AXI_SUPPORTS_READ : string; + attribute P_S_AXI_SUPPORTS_READ of inst : label is "1'b1"; + attribute P_S_AXI_SUPPORTS_WRITE : string; + attribute P_S_AXI_SUPPORTS_WRITE of inst : label is "1'b1"; +begin +inst: entity work.system_design_xbar_1_axi_crossbar_v2_1_10_axi_crossbar + port map ( + aclk => aclk, + aresetn => aresetn, + m_axi_araddr(63 downto 0) => m_axi_araddr(63 downto 0), + m_axi_arburst(3 downto 0) => m_axi_arburst(3 downto 0), + m_axi_arcache(7 downto 0) => m_axi_arcache(7 downto 0), + m_axi_arid(23 downto 0) => m_axi_arid(23 downto 0), + m_axi_arlen(15 downto 0) => m_axi_arlen(15 downto 0), + m_axi_arlock(1 downto 0) => m_axi_arlock(1 downto 0), + m_axi_arprot(5 downto 0) => m_axi_arprot(5 downto 0), + m_axi_arqos(7 downto 0) => m_axi_arqos(7 downto 0), + m_axi_arready(1 downto 0) => m_axi_arready(1 downto 0), + m_axi_arregion(7 downto 0) => m_axi_arregion(7 downto 0), + m_axi_arsize(5 downto 0) => m_axi_arsize(5 downto 0), + m_axi_aruser(1 downto 0) => NLW_inst_m_axi_aruser_UNCONNECTED(1 downto 0), + m_axi_arvalid(1 downto 0) => m_axi_arvalid(1 downto 0), + m_axi_awaddr(63 downto 0) => m_axi_awaddr(63 downto 0), + m_axi_awburst(3 downto 0) => m_axi_awburst(3 downto 0), + m_axi_awcache(7 downto 0) => m_axi_awcache(7 downto 0), + m_axi_awid(23 downto 0) => m_axi_awid(23 downto 0), + m_axi_awlen(15 downto 0) => m_axi_awlen(15 downto 0), + m_axi_awlock(1 downto 0) => m_axi_awlock(1 downto 0), + m_axi_awprot(5 downto 0) => m_axi_awprot(5 downto 0), + m_axi_awqos(7 downto 0) => m_axi_awqos(7 downto 0), + m_axi_awready(1 downto 0) => m_axi_awready(1 downto 0), + m_axi_awregion(7 downto 0) => m_axi_awregion(7 downto 0), + m_axi_awsize(5 downto 0) => m_axi_awsize(5 downto 0), + m_axi_awuser(1 downto 0) => NLW_inst_m_axi_awuser_UNCONNECTED(1 downto 0), + m_axi_awvalid(1 downto 0) => m_axi_awvalid(1 downto 0), + m_axi_bid(23 downto 0) => m_axi_bid(23 downto 0), + m_axi_bready(1 downto 0) => m_axi_bready(1 downto 0), + m_axi_bresp(3 downto 0) => m_axi_bresp(3 downto 0), + m_axi_buser(1 downto 0) => B"00", + m_axi_bvalid(1 downto 0) => m_axi_bvalid(1 downto 0), + m_axi_rdata(63 downto 0) => m_axi_rdata(63 downto 0), + m_axi_rid(23 downto 0) => m_axi_rid(23 downto 0), + m_axi_rlast(1 downto 0) => m_axi_rlast(1 downto 0), + m_axi_rready(1 downto 0) => m_axi_rready(1 downto 0), + m_axi_rresp(3 downto 0) => m_axi_rresp(3 downto 0), + m_axi_ruser(1 downto 0) => B"00", + m_axi_rvalid(1 downto 0) => m_axi_rvalid(1 downto 0), + m_axi_wdata(63 downto 0) => m_axi_wdata(63 downto 0), + m_axi_wid(23 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(23 downto 0), + m_axi_wlast(1 downto 0) => m_axi_wlast(1 downto 0), + m_axi_wready(1 downto 0) => m_axi_wready(1 downto 0), + m_axi_wstrb(7 downto 0) => m_axi_wstrb(7 downto 0), + m_axi_wuser(1 downto 0) => NLW_inst_m_axi_wuser_UNCONNECTED(1 downto 0), + m_axi_wvalid(1 downto 0) => m_axi_wvalid(1 downto 0), + s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), + s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), + s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), + s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), + s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), + s_axi_arlock(0) => s_axi_arlock(0), + s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), + s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), + s_axi_arready(0) => s_axi_arready(0), + s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), + s_axi_aruser(0) => '0', + s_axi_arvalid(0) => s_axi_arvalid(0), + s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), + s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), + s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), + s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), + s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), + s_axi_awlock(0) => s_axi_awlock(0), + s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), + s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), + s_axi_awready(0) => s_axi_awready(0), + s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), + s_axi_awuser(0) => '0', + s_axi_awvalid(0) => s_axi_awvalid(0), + s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), + s_axi_bready(0) => s_axi_bready(0), + s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), + s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), + s_axi_bvalid(0) => s_axi_bvalid(0), + s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), + s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), + s_axi_rlast(0) => s_axi_rlast(0), + s_axi_rready(0) => s_axi_rready(0), + s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), + s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), + s_axi_rvalid(0) => s_axi_rvalid(0), + s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), + s_axi_wid(11 downto 0) => B"000000000000", + s_axi_wlast(0) => s_axi_wlast(0), + s_axi_wready(0) => s_axi_wready(0), + s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), + s_axi_wuser(0) => '0', + s_axi_wvalid(0) => s_axi_wvalid(0) + ); +end STRUCTURE; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_stub.v b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_stub.v new file mode 100644 index 0000000000000000000000000000000000000000..46da62ffe73437dd44121f42a5723239a9714bfb --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_stub.v @@ -0,0 +1,97 @@ +// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +// Date : Mon Dec 18 11:25:30 2017 +// Host : lapte24154 running 64-bit openSUSE Leap 42.2 +// Command : write_verilog -force -mode synth_stub +// /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_stub.v +// Design : system_design_xbar_1 +// Purpose : Stub declaration of top-level module interface +// Device : xc7z030ffg676-2 +// -------------------------------------------------------------------------------- + +// This empty module with port declaration file causes synthesis tools to infer a black box for IP. +// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. +// Please paste the declaration into a Verilog source file or add the file as an additional source. +(* X_CORE_INFO = "axi_crossbar_v2_1_10_axi_crossbar,Vivado 2016.2" *) +module system_design_xbar_1(aclk, aresetn, s_axi_awid, s_axi_awaddr, s_axi_awlen, s_axi_awsize, s_axi_awburst, s_axi_awlock, s_axi_awcache, s_axi_awprot, s_axi_awqos, s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wstrb, s_axi_wlast, s_axi_wvalid, s_axi_wready, s_axi_bid, s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_arid, s_axi_araddr, s_axi_arlen, s_axi_arsize, s_axi_arburst, s_axi_arlock, s_axi_arcache, s_axi_arprot, s_axi_arqos, s_axi_arvalid, s_axi_arready, s_axi_rid, s_axi_rdata, s_axi_rresp, s_axi_rlast, s_axi_rvalid, s_axi_rready, m_axi_awid, m_axi_awaddr, m_axi_awlen, m_axi_awsize, m_axi_awburst, m_axi_awlock, m_axi_awcache, m_axi_awprot, m_axi_awregion, m_axi_awqos, m_axi_awvalid, m_axi_awready, m_axi_wdata, m_axi_wstrb, m_axi_wlast, m_axi_wvalid, m_axi_wready, m_axi_bid, m_axi_bresp, m_axi_bvalid, m_axi_bready, m_axi_arid, m_axi_araddr, m_axi_arlen, m_axi_arsize, m_axi_arburst, m_axi_arlock, m_axi_arcache, m_axi_arprot, m_axi_arregion, m_axi_arqos, m_axi_arvalid, m_axi_arready, m_axi_rid, m_axi_rdata, m_axi_rresp, m_axi_rlast, m_axi_rvalid, m_axi_rready) +/* synthesis syn_black_box black_box_pad_pin="aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[7:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[0:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awqos[3:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast[0:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[7:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[0:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arqos[3:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast[0:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awid[23:0],m_axi_awaddr[63:0],m_axi_awlen[15:0],m_axi_awsize[5:0],m_axi_awburst[3:0],m_axi_awlock[1:0],m_axi_awcache[7:0],m_axi_awprot[5:0],m_axi_awregion[7:0],m_axi_awqos[7:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wlast[1:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bid[23:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_arid[23:0],m_axi_araddr[63:0],m_axi_arlen[15:0],m_axi_arsize[5:0],m_axi_arburst[3:0],m_axi_arlock[1:0],m_axi_arcache[7:0],m_axi_arprot[5:0],m_axi_arregion[7:0],m_axi_arqos[7:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rid[23:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rlast[1:0],m_axi_rvalid[1:0],m_axi_rready[1:0]" */; + input aclk; + input aresetn; + input [11:0]s_axi_awid; + input [31:0]s_axi_awaddr; + input [7:0]s_axi_awlen; + input [2:0]s_axi_awsize; + input [1:0]s_axi_awburst; + input [0:0]s_axi_awlock; + input [3:0]s_axi_awcache; + input [2:0]s_axi_awprot; + input [3:0]s_axi_awqos; + input [0:0]s_axi_awvalid; + output [0:0]s_axi_awready; + input [31:0]s_axi_wdata; + input [3:0]s_axi_wstrb; + input [0:0]s_axi_wlast; + input [0:0]s_axi_wvalid; + output [0:0]s_axi_wready; + output [11:0]s_axi_bid; + output [1:0]s_axi_bresp; + output [0:0]s_axi_bvalid; + input [0:0]s_axi_bready; + input [11:0]s_axi_arid; + input [31:0]s_axi_araddr; + input [7:0]s_axi_arlen; + input [2:0]s_axi_arsize; + input [1:0]s_axi_arburst; + input [0:0]s_axi_arlock; + input [3:0]s_axi_arcache; + input [2:0]s_axi_arprot; + input [3:0]s_axi_arqos; + input [0:0]s_axi_arvalid; + output [0:0]s_axi_arready; + output [11:0]s_axi_rid; + output [31:0]s_axi_rdata; + output [1:0]s_axi_rresp; + output [0:0]s_axi_rlast; + output [0:0]s_axi_rvalid; + input [0:0]s_axi_rready; + output [23:0]m_axi_awid; + output [63:0]m_axi_awaddr; + output [15:0]m_axi_awlen; + output [5:0]m_axi_awsize; + output [3:0]m_axi_awburst; + output [1:0]m_axi_awlock; + output [7:0]m_axi_awcache; + output [5:0]m_axi_awprot; + output [7:0]m_axi_awregion; + output [7:0]m_axi_awqos; + output [1:0]m_axi_awvalid; + input [1:0]m_axi_awready; + output [63:0]m_axi_wdata; + output [7:0]m_axi_wstrb; + output [1:0]m_axi_wlast; + output [1:0]m_axi_wvalid; + input [1:0]m_axi_wready; + input [23:0]m_axi_bid; + input [3:0]m_axi_bresp; + input [1:0]m_axi_bvalid; + output [1:0]m_axi_bready; + output [23:0]m_axi_arid; + output [63:0]m_axi_araddr; + output [15:0]m_axi_arlen; + output [5:0]m_axi_arsize; + output [3:0]m_axi_arburst; + output [1:0]m_axi_arlock; + output [7:0]m_axi_arcache; + output [5:0]m_axi_arprot; + output [7:0]m_axi_arregion; + output [7:0]m_axi_arqos; + output [1:0]m_axi_arvalid; + input [1:0]m_axi_arready; + input [23:0]m_axi_rid; + input [63:0]m_axi_rdata; + input [3:0]m_axi_rresp; + input [1:0]m_axi_rlast; + input [1:0]m_axi_rvalid; + output [1:0]m_axi_rready; +endmodule diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_stub.vhdl b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_stub.vhdl new file mode 100644 index 0000000000000000000000000000000000000000..a4e9868fb671b1f2ccb8429dfc15cd48f8ae9e46 --- /dev/null +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_stub.vhdl @@ -0,0 +1,107 @@ +-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 +-- Date : Mon Dec 18 11:25:30 2017 +-- Host : lapte24154 running 64-bit openSUSE Leap 42.2 +-- Command : write_vhdl -force -mode synth_stub +-- /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xbar_1/system_design_xbar_1_stub.vhdl +-- Design : system_design_xbar_1 +-- Purpose : Stub declaration of top-level module interface +-- Device : xc7z030ffg676-2 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity system_design_xbar_1 is + Port ( + aclk : in STD_LOGIC; + aresetn : in STD_LOGIC; + s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_wlast : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); + s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); + s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); + s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); + s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); + s_axi_rlast : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); + s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); + m_axi_awid : out STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_awaddr : out STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_awlen : out STD_LOGIC_VECTOR ( 15 downto 0 ); + m_axi_awsize : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_awburst : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_awlock : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awcache : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awprot : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_awregion : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awqos : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_awvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_awready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_wlast : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_wvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_wready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bid : in STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_bresp : in STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_bvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_bready : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arid : out STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_araddr : out STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_arlen : out STD_LOGIC_VECTOR ( 15 downto 0 ); + m_axi_arsize : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_arburst : out STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_arlock : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arcache : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arprot : out STD_LOGIC_VECTOR ( 5 downto 0 ); + m_axi_arregion : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arqos : out STD_LOGIC_VECTOR ( 7 downto 0 ); + m_axi_arvalid : out STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_arready : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rid : in STD_LOGIC_VECTOR ( 23 downto 0 ); + m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); + m_axi_rresp : in STD_LOGIC_VECTOR ( 3 downto 0 ); + m_axi_rlast : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rvalid : in STD_LOGIC_VECTOR ( 1 downto 0 ); + m_axi_rready : out STD_LOGIC_VECTOR ( 1 downto 0 ) + ); + +end system_design_xbar_1; + +architecture stub of system_design_xbar_1 is +attribute syn_black_box : boolean; +attribute black_box_pad_pin : string; +attribute syn_black_box of stub : architecture is true; +attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awid[11:0],s_axi_awaddr[31:0],s_axi_awlen[7:0],s_axi_awsize[2:0],s_axi_awburst[1:0],s_axi_awlock[0:0],s_axi_awcache[3:0],s_axi_awprot[2:0],s_axi_awqos[3:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wlast[0:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bid[11:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_arid[11:0],s_axi_araddr[31:0],s_axi_arlen[7:0],s_axi_arsize[2:0],s_axi_arburst[1:0],s_axi_arlock[0:0],s_axi_arcache[3:0],s_axi_arprot[2:0],s_axi_arqos[3:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rid[11:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rlast[0:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awid[23:0],m_axi_awaddr[63:0],m_axi_awlen[15:0],m_axi_awsize[5:0],m_axi_awburst[3:0],m_axi_awlock[1:0],m_axi_awcache[7:0],m_axi_awprot[5:0],m_axi_awregion[7:0],m_axi_awqos[7:0],m_axi_awvalid[1:0],m_axi_awready[1:0],m_axi_wdata[63:0],m_axi_wstrb[7:0],m_axi_wlast[1:0],m_axi_wvalid[1:0],m_axi_wready[1:0],m_axi_bid[23:0],m_axi_bresp[3:0],m_axi_bvalid[1:0],m_axi_bready[1:0],m_axi_arid[23:0],m_axi_araddr[63:0],m_axi_arlen[15:0],m_axi_arsize[5:0],m_axi_arburst[3:0],m_axi_arlock[1:0],m_axi_arcache[7:0],m_axi_arprot[5:0],m_axi_arregion[7:0],m_axi_arqos[7:0],m_axi_arvalid[1:0],m_axi_arready[1:0],m_axi_rid[23:0],m_axi_rdata[63:0],m_axi_rresp[3:0],m_axi_rlast[1:0],m_axi_rvalid[1:0],m_axi_rready[1:0]"; +attribute X_CORE_INFO : string; +attribute X_CORE_INFO of stub : architecture is "axi_crossbar_v2_1_10_axi_crossbar,Vivado 2016.2"; +begin +end; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_7/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_7/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd index b031053c1b73e934a79b08655aa6eb9a1bd4734a..4c6cf106b379fc51ea3937ee880ad33cde7ffa06 100755 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_7/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ipshared/user.org/fasec_hwtest_v3_2_7/FASEC_hwtest.srcs/sources_1/new/top_mod.vhd @@ -294,8 +294,8 @@ begin s_data(c_FASEC_BASE+1) <= resize(unsigned(s_ins), g_S00_AXI_DATA_WIDTH); s_data(c_FASEC_BASE+2) <= resize(unsigned(gem_status_vector_i), g_S00_AXI_DATA_WIDTH); -- s_data(c_FASEC_BASE+3).data used in p_fasec_dio - s_data(c_FASEC_BASE+6) <= x"59DF231A"; -- tcl-script will put unix build time - s_data(c_FASEC_BASE+7) <= x"5a452d1a"; -- tcl-script will put git commit id + s_data(c_FASEC_BASE+6) <= x"5A379A25"; -- tcl-script will put unix build time + s_data(c_FASEC_BASE+7) <= x"6ee80eb3"; -- tcl-script will put git commit id -- copy in rw data, 'for generate' only possible with constants! gen_data_readwrite : for i in 0 to c_MEMMAX-1 generate gen_fasec : if c_FASECMEM(i).ro = '0' generate diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bd b/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bd index fa955a2d649c0c97c88aa57ab91b007af0be0ea9..bab1c414a495eb65daf6a6354638755705096368 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bd @@ -180,6 +180,12 @@ <spirit:busType spirit:library="interface" spirit:name="iic" spirit:vendor="xilinx.com" spirit:version="1.0"/> <spirit:abstractionType spirit:library="interface" spirit:name="iic_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> </spirit:busInterface> + <spirit:busInterface> + <spirit:name>i2c_master_mdio</spirit:name> + <spirit:master/> + <spirit:busType spirit:library="interface" spirit:name="iic" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="interface" spirit:name="iic_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + </spirit:busInterface> </spirit:busInterfaces> <spirit:model> <spirit:views> @@ -487,7 +493,7 @@ <spirit:configurableElementValue spirit:referenceId="PCW_EN_CLK3_PORT">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PCW_IRQ_F2P_INTR">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PCW_PRESET_BANK0_VOLTAGE">LVCMOS 3.3V</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PCW_PRESET_BANK1_VOLTAGE">LVCMOS 3.3V</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PCW_PRESET_BANK1_VOLTAGE">LVCMOS 1.8V</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PCW_UIPARAM_DDR_MEMORY_TYPE">DDR 3 (Low Voltage)</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PCW_UIPARAM_DDR_PARTNO">MT41K256M16 RE-125</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PCW_UIPARAM_DDR_TRAIN_WRITE_LEVEL">1</spirit:configurableElementValue> @@ -512,8 +518,9 @@ <spirit:configurableElementValue spirit:referenceId="PCW_TTC0_PERIPHERAL_ENABLE">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PCW_USB_RESET_ENABLE">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PCW_I2C0_PERIPHERAL_ENABLE">1</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PCW_I2C0_I2C0_IO">MIO 30 .. 31</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PCW_I2C1_PERIPHERAL_ENABLE">0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PCW_I2C0_I2C0_IO">MIO 38 .. 39</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PCW_I2C1_PERIPHERAL_ENABLE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PCW_I2C1_I2C1_IO">MIO 28 .. 29</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PCW_I2C_RESET_ENABLE">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PCW_GPIO_MIO_GPIO_ENABLE">1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PCW_GPIO_EMIO_GPIO_ENABLE">0</spirit:configurableElementValue> @@ -694,7 +701,7 @@ <spirit:componentRef spirit:library="BlockDiagram/system_design_imp" spirit:name="axi_interconnect_1" spirit:vendor="xilinx.com" spirit:version="1.00.a"/> <spirit:configurableElementValues> <spirit:configurableElementValue spirit:referenceId="bd:xciName">system_design_axi_interconnect_1_0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="NUM_MI">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="NUM_MI">2</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="appcore">xilinx.com:ip:axi_interconnect:2.1</spirit:configurableElementValue> </spirit:configurableElementValues> </spirit:componentInstance> @@ -728,6 +735,13 @@ <spirit:configurableElementValue spirit:referenceId="g_FMC2">EDA-03287</spirit:configurableElementValue> </spirit:configurableElementValues> </spirit:componentInstance> + <spirit:componentInstance> + <spirit:instanceName>axi_wb_i2c_master_1</spirit:instanceName> + <spirit:componentRef spirit:library="ip" spirit:name="axi_wb_i2c_master" spirit:vendor="cern.ch" spirit:version="3.2.0"/> + <spirit:configurableElementValues> + <spirit:configurableElementValue spirit:referenceId="bd:xciName">system_design_axi_wb_i2c_master_1_0</spirit:configurableElementValue> + </spirit:configurableElementValues> + </spirit:componentInstance> </spirit:componentInstances> <spirit:interconnections> <spirit:interconnection> @@ -800,6 +814,11 @@ <spirit:activeInterface spirit:busRef="S_AXI" spirit:componentRef="axi_uartlite_0"/> <spirit:activeInterface spirit:busRef="M07_AXI" spirit:componentRef="processing_system7_0_axi_periph"/> </spirit:interconnection> + <spirit:interconnection> + <spirit:name>processing_system7_0_axi_periph_M03_AXI</spirit:name> + <spirit:activeInterface spirit:busRef="M03_AXI" spirit:componentRef="processing_system7_0_axi_periph"/> + <spirit:activeInterface spirit:busRef="s00_axi" spirit:componentRef="axi_wb_i2c_master_1"/> + </spirit:interconnection> </spirit:interconnections> <spirit:adHocConnections> <spirit:adHocConnection> @@ -839,6 +858,8 @@ <spirit:internalPortReference spirit:componentRef="axi_uartlite_0" spirit:portRef="s_axi_aclk"/> <spirit:internalPortReference spirit:componentRef="axi_wb_i2c_master_0" spirit:portRef="s00_axi_aclk"/> <spirit:internalPortReference spirit:componentRef="axi_wb_i2c_master_2" spirit:portRef="s00_axi_aclk"/> + <spirit:internalPortReference spirit:componentRef="fasec_hwtest_0" spirit:portRef="ps_clk_i"/> + <spirit:internalPortReference spirit:componentRef="fasec_hwtest_0" spirit:portRef="s00_axi_aclk"/> <spirit:internalPortReference spirit:componentRef="axi_interconnect_0" spirit:portRef="ACLK"/> <spirit:internalPortReference spirit:componentRef="processing_system7_0_axi_periph" spirit:portRef="ACLK"/> <spirit:internalPortReference spirit:componentRef="processing_system7_0_axi_periph" spirit:portRef="M06_ACLK"/> @@ -852,8 +873,8 @@ <spirit:internalPortReference spirit:componentRef="axi_interconnect_0" spirit:portRef="S00_ACLK"/> <spirit:internalPortReference spirit:componentRef="axi_interconnect_0" spirit:portRef="M00_ACLK"/> <spirit:internalPortReference spirit:componentRef="processing_system7_0_axi_periph" spirit:portRef="M07_ACLK"/> - <spirit:internalPortReference spirit:componentRef="fasec_hwtest_0" spirit:portRef="ps_clk_i"/> - <spirit:internalPortReference spirit:componentRef="fasec_hwtest_0" spirit:portRef="s00_axi_aclk"/> + <spirit:internalPortReference spirit:componentRef="axi_wb_i2c_master_1" spirit:portRef="s00_axi_aclk"/> + <spirit:internalPortReference spirit:componentRef="axi_interconnect_1" spirit:portRef="M01_ACLK"/> </spirit:adHocConnection> <spirit:adHocConnection> <spirit:name>processing_system7_0_FCLK_RESET0_N</spirit:name> @@ -871,6 +892,7 @@ <spirit:internalPortReference spirit:componentRef="axi_uartlite_0" spirit:portRef="s_axi_aresetn"/> <spirit:internalPortReference spirit:componentRef="axi_wb_i2c_master_0" spirit:portRef="s00_axi_aresetn"/> <spirit:internalPortReference spirit:componentRef="axi_wb_i2c_master_2" spirit:portRef="s00_axi_aresetn"/> + <spirit:internalPortReference spirit:componentRef="fasec_hwtest_0" spirit:portRef="s00_axi_aresetn"/> <spirit:internalPortReference spirit:componentRef="processing_system7_0_axi_periph" spirit:portRef="S00_ARESETN"/> <spirit:internalPortReference spirit:componentRef="processing_system7_0_axi_periph" spirit:portRef="M06_ARESETN"/> <spirit:internalPortReference spirit:componentRef="processing_system7_0_axi_periph" spirit:portRef="M05_ARESETN"/> @@ -883,7 +905,8 @@ <spirit:internalPortReference spirit:componentRef="axi_interconnect_0" spirit:portRef="ARESETN"/> <spirit:internalPortReference spirit:componentRef="axi_interconnect_0" spirit:portRef="S00_ARESETN"/> <spirit:internalPortReference spirit:componentRef="processing_system7_0_axi_periph" spirit:portRef="M07_ARESETN"/> - <spirit:internalPortReference spirit:componentRef="fasec_hwtest_0" spirit:portRef="s00_axi_aresetn"/> + <spirit:internalPortReference spirit:componentRef="axi_wb_i2c_master_1" spirit:portRef="s00_axi_aresetn"/> + <spirit:internalPortReference spirit:componentRef="axi_interconnect_1" spirit:portRef="M01_ARESETN"/> </spirit:adHocConnection> <spirit:adHocConnection> <spirit:name>Net4</spirit:name> @@ -1207,6 +1230,9 @@ <spirit:hierConnection spirit:interfaceRef="i2c_master_fmcx/axi_wb_i2c_master_2_i2c_master"> <spirit:activeInterface spirit:busRef="i2c_master" spirit:componentRef="axi_wb_i2c_master_2"/> </spirit:hierConnection> + <spirit:hierConnection spirit:interfaceRef="i2c_master_mdio/axi_wb_i2c_master_1_i2c_master"> + <spirit:activeInterface spirit:busRef="i2c_master" spirit:componentRef="axi_wb_i2c_master_1"/> + </spirit:hierConnection> </spirit:hierConnections> </spirit:design> @@ -1219,17 +1245,486 @@ <spirit:busInterface> <spirit:name>S00_AXI</spirit:name> <spirit:slave/> - <spirit:busType spirit:library="interface" spirit:name="aximm" spirit:vendor="xilinx.com" spirit:version="1.0"/> - <spirit:abstractionType spirit:library="interface" spirit:name="aximm_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:busType spirit:library="interface" spirit:name="aximm" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="interface" spirit:name="aximm_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M00_AXI</spirit:name> + <spirit:master/> + <spirit:busType spirit:library="interface" spirit:name="aximm" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="interface" spirit:name="aximm_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>M01_AXI</spirit:name> + <spirit:master/> + <spirit:busType spirit:library="interface" spirit:name="aximm" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="interface" spirit:name="aximm_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>CLK.ACLK</spirit:name> + <spirit:displayName>Clk</spirit:displayName> + <spirit:description>Clock</spirit:description> + <spirit:busType spirit:library="signal" spirit:name="clock" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="signal" spirit:name="clock_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>ACLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>RST.ARESETN</spirit:name> + <spirit:displayName>Reset</spirit:displayName> + <spirit:description>Reset</spirit:description> + <spirit:busType spirit:library="signal" spirit:name="reset" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="signal" spirit:name="reset_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>ARESETN</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>CLK.S00_ACLK</spirit:name> + <spirit:displayName>Clk</spirit:displayName> + <spirit:description>Clock</spirit:description> + <spirit:busType spirit:library="signal" spirit:name="clock" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="signal" spirit:name="clock_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>S00_ACLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>ASSOCIATED_BUSIF</spirit:name> + <spirit:value>S00_AXI</spirit:value> + <spirit:vendorExtensions> + <bd:configElementInfos> + <bd:configElementInfo bd:valueSource="user"/> + </bd:configElementInfos> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_RESET</spirit:name> + <spirit:value>S00_ARESETN</spirit:value> + <spirit:vendorExtensions> + <bd:configElementInfos> + <bd:configElementInfo bd:valueSource="user"/> + </bd:configElementInfos> + </spirit:vendorExtensions> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>RST.S00_ARESETN</spirit:name> + <spirit:displayName>Reset</spirit:displayName> + <spirit:description>Reset</spirit:description> + <spirit:busType spirit:library="signal" spirit:name="reset" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="signal" spirit:name="reset_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>S00_ARESETN</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>CLK.M00_ACLK</spirit:name> + <spirit:displayName>Clk</spirit:displayName> + <spirit:description>Clock</spirit:description> + <spirit:busType spirit:library="signal" spirit:name="clock" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="signal" spirit:name="clock_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>M00_ACLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>ASSOCIATED_BUSIF</spirit:name> + <spirit:value>M00_AXI</spirit:value> + <spirit:vendorExtensions> + <bd:configElementInfos> + <bd:configElementInfo bd:valueSource="user"/> + </bd:configElementInfos> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_RESET</spirit:name> + <spirit:value>M00_ARESETN</spirit:value> + <spirit:vendorExtensions> + <bd:configElementInfos> + <bd:configElementInfo bd:valueSource="user"/> + </bd:configElementInfos> + </spirit:vendorExtensions> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>RST.M00_ARESETN</spirit:name> + <spirit:displayName>Reset</spirit:displayName> + <spirit:description>Reset</spirit:description> + <spirit:busType spirit:library="signal" spirit:name="reset" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="signal" spirit:name="reset_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>M00_ARESETN</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>CLK.M01_ACLK</spirit:name> + <spirit:displayName>Clk</spirit:displayName> + <spirit:description>Clock</spirit:description> + <spirit:busType spirit:library="signal" spirit:name="clock" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="signal" spirit:name="clock_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>M01_ACLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>ASSOCIATED_BUSIF</spirit:name> + <spirit:value>M01_AXI</spirit:value> + <spirit:vendorExtensions> + <bd:configElementInfos> + <bd:configElementInfo bd:valueSource="user"/> + </bd:configElementInfos> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_RESET</spirit:name> + <spirit:value>M01_ARESETN</spirit:value> + <spirit:vendorExtensions> + <bd:configElementInfos> + <bd:configElementInfo bd:valueSource="user"/> + </bd:configElementInfos> + </spirit:vendorExtensions> + </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>RST.M01_ARESETN</spirit:name> + <spirit:displayName>Reset</spirit:displayName> + <spirit:description>Reset</spirit:description> + <spirit:busType spirit:library="signal" spirit:name="reset" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="signal" spirit:name="reset_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>M01_ARESETN</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + </spirit:busInterfaces> + <spirit:model> + <spirit:views> + <spirit:view> + <spirit:name>BlockDiagram</spirit:name> + <spirit:envIdentifier>:vivado.xilinx.com:</spirit:envIdentifier> + <spirit:hierarchyRef spirit:library="BlockDiagram/system_design_imp" spirit:name="axi_interconnect_1_imp" spirit:vendor="xilinx.com" spirit:version="1.00.a"/> + </spirit:view> + </spirit:views> + <spirit:ports> + <spirit:port> + <spirit:name>ACLK</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>ARESETN</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>S00_ACLK</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>S00_ARESETN</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>M00_ACLK</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>M00_ARESETN</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>M01_ACLK</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>M01_ARESETN</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + </spirit:ports> + </spirit:model> + </spirit:component> + + <spirit:design xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>BlockDiagram/system_design_imp</spirit:library> + <spirit:name>axi_interconnect_1_imp</spirit:name> + <spirit:version>1.00.a</spirit:version> + <spirit:componentInstances> + <spirit:componentInstance> + <spirit:instanceName>xbar</spirit:instanceName> + <spirit:componentRef spirit:library="ip" spirit:name="axi_crossbar" spirit:vendor="xilinx.com" spirit:version="2.1"/> + <spirit:configurableElementValues> + <spirit:configurableElementValue spirit:referenceId="bd:xciName">system_design_xbar_1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="NUM_SI">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="NUM_MI">2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="STRATEGY">0</spirit:configurableElementValue> + </spirit:configurableElementValues> + </spirit:componentInstance> + <spirit:componentInstance> + <spirit:instanceName>s00_couplers</spirit:instanceName> + <spirit:componentRef spirit:library="BlockDiagram/system_design_imp/axi_interconnect_1_imp" spirit:name="s00_couplers" spirit:vendor="xilinx.com" spirit:version="1.00.a"/> + </spirit:componentInstance> + <spirit:componentInstance> + <spirit:instanceName>m00_couplers</spirit:instanceName> + <spirit:componentRef spirit:library="BlockDiagram/system_design_imp/axi_interconnect_1_imp" spirit:name="m00_couplers" spirit:vendor="xilinx.com" spirit:version="1.00.a"/> + </spirit:componentInstance> + <spirit:componentInstance> + <spirit:instanceName>m01_couplers</spirit:instanceName> + <spirit:componentRef spirit:library="BlockDiagram/system_design_imp/axi_interconnect_1_imp" spirit:name="m01_couplers" spirit:vendor="xilinx.com" spirit:version="1.00.a"/> + </spirit:componentInstance> + </spirit:componentInstances> + <spirit:interconnections> + <spirit:interconnection> + <spirit:name>s00_couplers_to_xbar</spirit:name> + <spirit:activeInterface spirit:busRef="M_AXI" spirit:componentRef="s00_couplers"/> + <spirit:activeInterface spirit:busRef="S00_AXI" spirit:componentRef="xbar"/> + </spirit:interconnection> + <spirit:interconnection> + <spirit:name>xbar_to_m00_couplers</spirit:name> + <spirit:activeInterface spirit:busRef="M00_AXI" spirit:componentRef="xbar"/> + <spirit:activeInterface spirit:busRef="S_AXI" spirit:componentRef="m00_couplers"/> + </spirit:interconnection> + <spirit:interconnection> + <spirit:name>xbar_to_m01_couplers</spirit:name> + <spirit:activeInterface spirit:busRef="M01_AXI" spirit:componentRef="xbar"/> + <spirit:activeInterface spirit:busRef="S_AXI" spirit:componentRef="m01_couplers"/> + </spirit:interconnection> + </spirit:interconnections> + <spirit:adHocConnections> + <spirit:adHocConnection> + <spirit:name>axi_interconnect_1_ACLK_net</spirit:name> + <spirit:externalPortReference spirit:portRef="ACLK"/> + <spirit:internalPortReference spirit:componentRef="xbar" spirit:portRef="aclk"/> + <spirit:internalPortReference spirit:componentRef="s00_couplers" spirit:portRef="M_ACLK"/> + <spirit:internalPortReference spirit:componentRef="m00_couplers" spirit:portRef="S_ACLK"/> + <spirit:internalPortReference spirit:componentRef="m01_couplers" spirit:portRef="S_ACLK"/> + </spirit:adHocConnection> + <spirit:adHocConnection> + <spirit:name>axi_interconnect_1_ARESETN_net</spirit:name> + <spirit:externalPortReference spirit:portRef="ARESETN"/> + <spirit:internalPortReference spirit:componentRef="xbar" spirit:portRef="aresetn"/> + <spirit:internalPortReference spirit:componentRef="s00_couplers" spirit:portRef="M_ARESETN"/> + <spirit:internalPortReference spirit:componentRef="m00_couplers" spirit:portRef="S_ARESETN"/> + <spirit:internalPortReference spirit:componentRef="m01_couplers" spirit:portRef="S_ARESETN"/> + </spirit:adHocConnection> + <spirit:adHocConnection> + <spirit:name>S00_ACLK_1</spirit:name> + <spirit:externalPortReference spirit:portRef="S00_ACLK"/> + <spirit:internalPortReference spirit:componentRef="s00_couplers" spirit:portRef="S_ACLK"/> + </spirit:adHocConnection> + <spirit:adHocConnection> + <spirit:name>S00_ARESETN_1</spirit:name> + <spirit:externalPortReference spirit:portRef="S00_ARESETN"/> + <spirit:internalPortReference spirit:componentRef="s00_couplers" spirit:portRef="S_ARESETN"/> + </spirit:adHocConnection> + <spirit:adHocConnection> + <spirit:name>M00_ACLK_1</spirit:name> + <spirit:externalPortReference spirit:portRef="M00_ACLK"/> + <spirit:internalPortReference spirit:componentRef="m00_couplers" spirit:portRef="M_ACLK"/> + </spirit:adHocConnection> + <spirit:adHocConnection> + <spirit:name>M00_ARESETN_1</spirit:name> + <spirit:externalPortReference spirit:portRef="M00_ARESETN"/> + <spirit:internalPortReference spirit:componentRef="m00_couplers" spirit:portRef="M_ARESETN"/> + </spirit:adHocConnection> + <spirit:adHocConnection> + <spirit:name>M01_ACLK_1</spirit:name> + <spirit:externalPortReference spirit:portRef="M01_ACLK"/> + <spirit:internalPortReference spirit:componentRef="m01_couplers" spirit:portRef="M_ACLK"/> + </spirit:adHocConnection> + <spirit:adHocConnection> + <spirit:name>M01_ARESETN_1</spirit:name> + <spirit:externalPortReference spirit:portRef="M01_ARESETN"/> + <spirit:internalPortReference spirit:componentRef="m01_couplers" spirit:portRef="M_ARESETN"/> + </spirit:adHocConnection> + </spirit:adHocConnections> + <spirit:hierConnections> + <spirit:hierConnection spirit:interfaceRef="S00_AXI/axi_interconnect_1_to_s00_couplers"> + <spirit:activeInterface spirit:busRef="S_AXI" spirit:componentRef="s00_couplers"/> + </spirit:hierConnection> + <spirit:hierConnection spirit:interfaceRef="M00_AXI/m00_couplers_to_axi_interconnect_1"> + <spirit:activeInterface spirit:busRef="M_AXI" spirit:componentRef="m00_couplers"/> + </spirit:hierConnection> + <spirit:hierConnection spirit:interfaceRef="M01_AXI/m01_couplers_to_axi_interconnect_1"> + <spirit:activeInterface spirit:busRef="M_AXI" spirit:componentRef="m01_couplers"/> + </spirit:hierConnection> + </spirit:hierConnections> + </spirit:design> + + <spirit:component xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>BlockDiagram/system_design_imp/axi_interconnect_1_imp</spirit:library> + <spirit:name>m01_couplers</spirit:name> + <spirit:version>1.00.a</spirit:version> + <spirit:busInterfaces> + <spirit:busInterface> + <spirit:name>M_AXI</spirit:name> + <spirit:master/> + <spirit:busType spirit:library="interface" spirit:name="aximm" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="interface" spirit:name="aximm_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>S_AXI</spirit:name> + <spirit:slave/> + <spirit:busType spirit:library="interface" spirit:name="aximm" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="interface" spirit:name="aximm_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>CLK.M_ACLK</spirit:name> + <spirit:displayName>Clk</spirit:displayName> + <spirit:description>Clock</spirit:description> + <spirit:busType spirit:library="signal" spirit:name="clock" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="signal" spirit:name="clock_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>M_ACLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>ASSOCIATED_BUSIF</spirit:name> + <spirit:value>M_AXI</spirit:value> + <spirit:vendorExtensions> + <bd:configElementInfos> + <bd:configElementInfo bd:valueSource="user"/> + </bd:configElementInfos> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_RESET</spirit:name> + <spirit:value>M_ARESETN</spirit:value> + <spirit:vendorExtensions> + <bd:configElementInfos> + <bd:configElementInfo bd:valueSource="user"/> + </bd:configElementInfos> + </spirit:vendorExtensions> + </spirit:parameter> + </spirit:parameters> </spirit:busInterface> <spirit:busInterface> - <spirit:name>M00_AXI</spirit:name> - <spirit:master/> - <spirit:busType spirit:library="interface" spirit:name="aximm" spirit:vendor="xilinx.com" spirit:version="1.0"/> - <spirit:abstractionType spirit:library="interface" spirit:name="aximm_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:name>RST.M_ARESETN</spirit:name> + <spirit:displayName>Reset</spirit:displayName> + <spirit:description>Reset</spirit:description> + <spirit:busType spirit:library="signal" spirit:name="reset" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="signal" spirit:name="reset_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:slave/> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>M_ARESETN</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> </spirit:busInterface> <spirit:busInterface> - <spirit:name>CLK.ACLK</spirit:name> + <spirit:name>CLK.S_ACLK</spirit:name> <spirit:displayName>Clk</spirit:displayName> <spirit:description>Clock</spirit:description> <spirit:busType spirit:library="signal" spirit:name="clock" spirit:vendor="xilinx.com" spirit:version="1.0"/> @@ -1241,13 +1736,33 @@ <spirit:name>CLK</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>ACLK</spirit:name> + <spirit:name>S_ACLK</spirit:name> </spirit:physicalPort> </spirit:portMap> </spirit:portMaps> + <spirit:parameters> + <spirit:parameter> + <spirit:name>ASSOCIATED_BUSIF</spirit:name> + <spirit:value>S_AXI</spirit:value> + <spirit:vendorExtensions> + <bd:configElementInfos> + <bd:configElementInfo bd:valueSource="user"/> + </bd:configElementInfos> + </spirit:vendorExtensions> + </spirit:parameter> + <spirit:parameter> + <spirit:name>ASSOCIATED_RESET</spirit:name> + <spirit:value>S_ARESETN</spirit:value> + <spirit:vendorExtensions> + <bd:configElementInfos> + <bd:configElementInfo bd:valueSource="user"/> + </bd:configElementInfos> + </spirit:vendorExtensions> + </spirit:parameter> + </spirit:parameters> </spirit:busInterface> <spirit:busInterface> - <spirit:name>RST.ARESETN</spirit:name> + <spirit:name>RST.S_ARESETN</spirit:name> <spirit:displayName>Reset</spirit:displayName> <spirit:description>Reset</spirit:description> <spirit:busType spirit:library="signal" spirit:name="reset" spirit:vendor="xilinx.com" spirit:version="1.0"/> @@ -1259,13 +1774,91 @@ <spirit:name>RST</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>ARESETN</spirit:name> + <spirit:name>S_ARESETN</spirit:name> </spirit:physicalPort> </spirit:portMap> </spirit:portMaps> </spirit:busInterface> + </spirit:busInterfaces> + <spirit:model> + <spirit:views> + <spirit:view> + <spirit:name>BlockDiagram</spirit:name> + <spirit:envIdentifier>:vivado.xilinx.com:</spirit:envIdentifier> + <spirit:hierarchyRef spirit:library="BlockDiagram/system_design_imp/axi_interconnect_1_imp" spirit:name="m01_couplers_imp" spirit:vendor="xilinx.com" spirit:version="1.00.a"/> + </spirit:view> + </spirit:views> + <spirit:ports> + <spirit:port> + <spirit:name>M_ACLK</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>M_ARESETN</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>S_ACLK</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>S_ARESETN</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + </spirit:ports> + </spirit:model> + </spirit:component> + + <spirit:design xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>BlockDiagram/system_design_imp/axi_interconnect_1_imp</spirit:library> + <spirit:name>m01_couplers_imp</spirit:name> + <spirit:version>1.00.a</spirit:version> + <spirit:interconnections/> + <spirit:adHocConnections/> + <spirit:hierConnections> + <spirit:hierConnection spirit:interfaceRef="M_AXI/m01_couplers_to_m01_couplers"> + <spirit:activeInterface spirit:busRef="S_AXI" spirit:componentRef="./m01_couplers_to_m01_couplers"/> + </spirit:hierConnection> + </spirit:hierConnections> + </spirit:design> + + <spirit:component xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>BlockDiagram/system_design_imp/axi_interconnect_1_imp</spirit:library> + <spirit:name>m00_couplers</spirit:name> + <spirit:version>1.00.a</spirit:version> + <spirit:busInterfaces> + <spirit:busInterface> + <spirit:name>M_AXI</spirit:name> + <spirit:master/> + <spirit:busType spirit:library="interface" spirit:name="aximm" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="interface" spirit:name="aximm_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + </spirit:busInterface> <spirit:busInterface> - <spirit:name>CLK.S00_ACLK</spirit:name> + <spirit:name>S_AXI</spirit:name> + <spirit:slave/> + <spirit:busType spirit:library="interface" spirit:name="aximm" spirit:vendor="xilinx.com" spirit:version="1.0"/> + <spirit:abstractionType spirit:library="interface" spirit:name="aximm_rtl" spirit:vendor="xilinx.com" spirit:version="1.0"/> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>CLK.M_ACLK</spirit:name> <spirit:displayName>Clk</spirit:displayName> <spirit:description>Clock</spirit:description> <spirit:busType spirit:library="signal" spirit:name="clock" spirit:vendor="xilinx.com" spirit:version="1.0"/> @@ -1277,14 +1870,14 @@ <spirit:name>CLK</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>S00_ACLK</spirit:name> + <spirit:name>M_ACLK</spirit:name> </spirit:physicalPort> </spirit:portMap> </spirit:portMaps> <spirit:parameters> <spirit:parameter> <spirit:name>ASSOCIATED_BUSIF</spirit:name> - <spirit:value>S00_AXI</spirit:value> + <spirit:value>M_AXI</spirit:value> <spirit:vendorExtensions> <bd:configElementInfos> <bd:configElementInfo bd:valueSource="user"/> @@ -1293,7 +1886,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>ASSOCIATED_RESET</spirit:name> - <spirit:value>S00_ARESETN</spirit:value> + <spirit:value>M_ARESETN</spirit:value> <spirit:vendorExtensions> <bd:configElementInfos> <bd:configElementInfo bd:valueSource="user"/> @@ -1303,7 +1896,7 @@ </spirit:parameters> </spirit:busInterface> <spirit:busInterface> - <spirit:name>RST.S00_ARESETN</spirit:name> + <spirit:name>RST.M_ARESETN</spirit:name> <spirit:displayName>Reset</spirit:displayName> <spirit:description>Reset</spirit:description> <spirit:busType spirit:library="signal" spirit:name="reset" spirit:vendor="xilinx.com" spirit:version="1.0"/> @@ -1315,13 +1908,13 @@ <spirit:name>RST</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>S00_ARESETN</spirit:name> + <spirit:name>M_ARESETN</spirit:name> </spirit:physicalPort> </spirit:portMap> </spirit:portMaps> </spirit:busInterface> <spirit:busInterface> - <spirit:name>CLK.M00_ACLK</spirit:name> + <spirit:name>CLK.S_ACLK</spirit:name> <spirit:displayName>Clk</spirit:displayName> <spirit:description>Clock</spirit:description> <spirit:busType spirit:library="signal" spirit:name="clock" spirit:vendor="xilinx.com" spirit:version="1.0"/> @@ -1333,14 +1926,14 @@ <spirit:name>CLK</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>M00_ACLK</spirit:name> + <spirit:name>S_ACLK</spirit:name> </spirit:physicalPort> </spirit:portMap> </spirit:portMaps> <spirit:parameters> <spirit:parameter> <spirit:name>ASSOCIATED_BUSIF</spirit:name> - <spirit:value>M00_AXI</spirit:value> + <spirit:value>S_AXI</spirit:value> <spirit:vendorExtensions> <bd:configElementInfos> <bd:configElementInfo bd:valueSource="user"/> @@ -1349,7 +1942,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>ASSOCIATED_RESET</spirit:name> - <spirit:value>M00_ARESETN</spirit:value> + <spirit:value>S_ARESETN</spirit:value> <spirit:vendorExtensions> <bd:configElementInfos> <bd:configElementInfo bd:valueSource="user"/> @@ -1359,7 +1952,7 @@ </spirit:parameters> </spirit:busInterface> <spirit:busInterface> - <spirit:name>RST.M00_ARESETN</spirit:name> + <spirit:name>RST.S_ARESETN</spirit:name> <spirit:displayName>Reset</spirit:displayName> <spirit:description>Reset</spirit:description> <spirit:busType spirit:library="signal" spirit:name="reset" spirit:vendor="xilinx.com" spirit:version="1.0"/> @@ -1371,7 +1964,7 @@ <spirit:name>RST</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>M00_ARESETN</spirit:name> + <spirit:name>S_ARESETN</spirit:name> </spirit:physicalPort> </spirit:portMap> </spirit:portMaps> @@ -1382,34 +1975,18 @@ <spirit:view> <spirit:name>BlockDiagram</spirit:name> <spirit:envIdentifier>:vivado.xilinx.com:</spirit:envIdentifier> - <spirit:hierarchyRef spirit:library="BlockDiagram/system_design_imp" spirit:name="axi_interconnect_1_imp" spirit:vendor="xilinx.com" spirit:version="1.00.a"/> + <spirit:hierarchyRef spirit:library="BlockDiagram/system_design_imp/axi_interconnect_1_imp" spirit:name="m00_couplers_imp" spirit:vendor="xilinx.com" spirit:version="1.00.a"/> </spirit:view> </spirit:views> <spirit:ports> <spirit:port> - <spirit:name>ACLK</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>ARESETN</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>0</spirit:right> - </spirit:vector> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>S00_ACLK</spirit:name> + <spirit:name>M_ACLK</spirit:name> <spirit:wire> <spirit:direction>in</spirit:direction> </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>S00_ARESETN</spirit:name> + <spirit:name>M_ARESETN</spirit:name> <spirit:wire> <spirit:direction>in</spirit:direction> <spirit:vector> @@ -1419,13 +1996,13 @@ </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>M00_ACLK</spirit:name> + <spirit:name>S_ACLK</spirit:name> <spirit:wire> <spirit:direction>in</spirit:direction> </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>M00_ARESETN</spirit:name> + <spirit:name>S_ARESETN</spirit:name> <spirit:wire> <spirit:direction>in</spirit:direction> <spirit:vector> @@ -1440,44 +2017,39 @@ <spirit:design xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> <spirit:vendor>xilinx.com</spirit:vendor> - <spirit:library>BlockDiagram/system_design_imp</spirit:library> - <spirit:name>axi_interconnect_1_imp</spirit:name> + <spirit:library>BlockDiagram/system_design_imp/axi_interconnect_1_imp</spirit:library> + <spirit:name>m00_couplers_imp</spirit:name> <spirit:version>1.00.a</spirit:version> <spirit:componentInstances> <spirit:componentInstance> - <spirit:instanceName>s00_couplers</spirit:instanceName> - <spirit:componentRef spirit:library="BlockDiagram/system_design_imp/axi_interconnect_1_imp" spirit:name="s00_couplers" spirit:vendor="xilinx.com" spirit:version="1.00.a"/> + <spirit:instanceName>auto_pc</spirit:instanceName> + <spirit:componentRef spirit:library="ip" spirit:name="axi_protocol_converter" spirit:vendor="xilinx.com" spirit:version="2.1"/> + <spirit:configurableElementValues> + <spirit:configurableElementValue spirit:referenceId="bd:xciName">system_design_auto_pc_2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="SI_PROTOCOL">AXI4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MI_PROTOCOL">AXI4LITE</spirit:configurableElementValue> + </spirit:configurableElementValues> </spirit:componentInstance> </spirit:componentInstances> <spirit:interconnections/> <spirit:adHocConnections> <spirit:adHocConnection> - <spirit:name>axi_interconnect_1_ACLK_net</spirit:name> - <spirit:externalPortReference spirit:portRef="M00_ACLK"/> - <spirit:internalPortReference spirit:componentRef="s00_couplers" spirit:portRef="M_ACLK"/> - </spirit:adHocConnection> - <spirit:adHocConnection> - <spirit:name>axi_interconnect_1_ARESETN_net</spirit:name> - <spirit:externalPortReference spirit:portRef="M00_ARESETN"/> - <spirit:internalPortReference spirit:componentRef="s00_couplers" spirit:portRef="M_ARESETN"/> - </spirit:adHocConnection> - <spirit:adHocConnection> - <spirit:name>S00_ACLK_1</spirit:name> - <spirit:externalPortReference spirit:portRef="S00_ACLK"/> - <spirit:internalPortReference spirit:componentRef="s00_couplers" spirit:portRef="S_ACLK"/> + <spirit:name>S_ACLK_1</spirit:name> + <spirit:externalPortReference spirit:portRef="S_ACLK"/> + <spirit:internalPortReference spirit:componentRef="auto_pc" spirit:portRef="aclk"/> </spirit:adHocConnection> <spirit:adHocConnection> - <spirit:name>S00_ARESETN_1</spirit:name> - <spirit:externalPortReference spirit:portRef="S00_ARESETN"/> - <spirit:internalPortReference spirit:componentRef="s00_couplers" spirit:portRef="S_ARESETN"/> + <spirit:name>S_ARESETN_1</spirit:name> + <spirit:externalPortReference spirit:portRef="S_ARESETN"/> + <spirit:internalPortReference spirit:componentRef="auto_pc" spirit:portRef="aresetn"/> </spirit:adHocConnection> </spirit:adHocConnections> <spirit:hierConnections> - <spirit:hierConnection spirit:interfaceRef="S00_AXI/axi_interconnect_1_to_s00_couplers"> - <spirit:activeInterface spirit:busRef="S_AXI" spirit:componentRef="s00_couplers"/> + <spirit:hierConnection spirit:interfaceRef="M_AXI/auto_pc_to_m00_couplers"> + <spirit:activeInterface spirit:busRef="M_AXI" spirit:componentRef="auto_pc"/> </spirit:hierConnection> - <spirit:hierConnection spirit:interfaceRef="M00_AXI/s00_couplers_to_axi_interconnect_1"> - <spirit:activeInterface spirit:busRef="M_AXI" spirit:componentRef="s00_couplers"/> + <spirit:hierConnection spirit:interfaceRef="S_AXI/m00_couplers_to_auto_pc"> + <spirit:activeInterface spirit:busRef="S_AXI" spirit:componentRef="auto_pc"/> </spirit:hierConnection> </spirit:hierConnections> </spirit:design> @@ -1668,9 +2240,9 @@ <spirit:instanceName>auto_pc</spirit:instanceName> <spirit:componentRef spirit:library="ip" spirit:name="axi_protocol_converter" spirit:vendor="xilinx.com" spirit:version="2.1"/> <spirit:configurableElementValues> - <spirit:configurableElementValue spirit:referenceId="bd:xciName">system_design_auto_pc_2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="bd:xciName">system_design_auto_pc_3</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="SI_PROTOCOL">AXI3</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MI_PROTOCOL">AXI4LITE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MI_PROTOCOL">AXI4</spirit:configurableElementValue> </spirit:configurableElementValues> </spirit:componentInstance> </spirit:componentInstances> @@ -5003,6 +5575,12 @@ <spirit:addressOffset>0x43C30000</spirit:addressOffset> <spirit:range>64K</spirit:range> </spirit:segment> + <spirit:segment> + <spirit:name>SEG_axi_wb_i2c_master_1_Reg</spirit:name> + <spirit:displayName>/axi_wb_i2c_master_1/s00_axi/Reg</spirit:displayName> + <spirit:addressOffset>0x43C20000</spirit:addressOffset> + <spirit:range>64K</spirit:range> + </spirit:segment> </spirit:segments> </spirit:addressSpace> </spirit:addressSpaces> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bxml b/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bxml index ffb0d342e32efe1a205d8aed46475aecd9a2a686..f6c3a955aa6f4501926a30feabe585e55e02cda0 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bxml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bxml @@ -2,9 +2,9 @@ <Root MajorVersion="0" MinorVersion="33"> <CompositeFile CompositeFileTopName="system_design" CanBeSetAsTop="false" CanDisplayChildGraph="true"> <Description>Composite Fileset</Description> - <Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1507795158"/> - <Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1507795158"/> - <Generation Name="SIMULATION" State="GENERATED" Timestamp="1507795158"/> + <Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1513592610"/> + <Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1513592610"/> + <Generation Name="SIMULATION" State="GENERATED" Timestamp="1513592610"/> <FileCollection Name="SOURCES" Type="SOURCES"> <File Name="ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xci" Type="IP"> <Instance HierarchyPath="processing_system7_0"/> @@ -156,6 +156,22 @@ <UsedIn Val="SYNTHESIS"/> <UsedIn Val="SIMULATION"/> </File> + <File Name="ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xci" Type="IP"> + <Instance HierarchyPath="axi_wb_i2c_master_1"/> + <Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/> + <Library Name="xil_defaultlib"/> + <UsedIn Val="SYNTHESIS"/> + <UsedIn Val="IMPLEMENTATION"/> + <UsedIn Val="SIMULATION"/> + </File> + <File Name="ip/system_design_xbar_1/system_design_xbar_1.xci" Type="IP"> + <Instance HierarchyPath="axi_interconnect_1/xbar"/> + <Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/> + <Library Name="xil_defaultlib"/> + <UsedIn Val="SYNTHESIS"/> + <UsedIn Val="IMPLEMENTATION"/> + <UsedIn Val="SIMULATION"/> + </File> <File Name="ip/system_design_auto_pc_0/system_design_auto_pc_0.xci" Type="IP"> <Instance HierarchyPath="axi_interconnect_0/s00_couplers/auto_pc"/> <Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/> @@ -173,6 +189,14 @@ <UsedIn Val="SIMULATION"/> </File> <File Name="ip/system_design_auto_pc_2/system_design_auto_pc_2.xci" Type="IP"> + <Instance HierarchyPath="axi_interconnect_1/m00_couplers/auto_pc"/> + <Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/> + <Library Name="xil_defaultlib"/> + <UsedIn Val="SYNTHESIS"/> + <UsedIn Val="IMPLEMENTATION"/> + <UsedIn Val="SIMULATION"/> + </File> + <File Name="ip/system_design_auto_pc_3/system_design_auto_pc_3.xci" Type="IP"> <Instance HierarchyPath="axi_interconnect_1/s00_couplers/auto_pc"/> <Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/> <Library Name="xil_defaultlib"/> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ui/bd_7f01d80e.ui b/FASEC_prototype.srcs/sources_1/bd/system_design/ui/bd_7f01d80e.ui index 5ed2b2ab69670aa05ee7b749b06da4df702357d1..3f5449017b9a5b83aac66e83c9c052e63f497bec 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ui/bd_7f01d80e.ui +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ui/bd_7f01d80e.ui @@ -37,6 +37,7 @@ preplace port watchdog_pl_o -pg 1 -y 1120 -defaultsOSRD preplace port gtp_dedicated_clk_p_i -pg 1 -y 1630 -defaultsOSRD preplace port FMC1_CLK0C2M_N_o -pg 1 -y 1020 -defaultsOSRD preplace port pb_gp_i -pg 1 -y 1670 -defaultsOSRD +preplace port i2c_master_mdio -pg 1 -y 300 -defaultsOSRD preplace port dig_out5_n -pg 1 -y 1160 -defaultsOSRD preplace port Vaux8 -pg 1 -y 840 -defaultsOSRD preplace port dac_sclk_o -pg 1 -y 1650 -defaultsOSRD @@ -58,6 +59,7 @@ preplace inst wrc_1p_kintex7_0 -pg 1 -lvl 9 -y 1660 -defaultsOSRD preplace inst xadc_wiz_0 -pg 1 -lvl 3 -y 840 -defaultsOSRD preplace inst xlconcat_0 -pg 1 -lvl 6 -y 580 -defaultsOSRD preplace inst axi_wb_i2c_master_0 -pg 1 -lvl 3 -y 390 -defaultsOSRD +preplace inst axi_wb_i2c_master_1 -pg 1 -lvl 9 -y 310 -defaultsOSRD preplace inst axi_wb_i2c_master_2 -pg 1 -lvl 9 -y 520 -defaultsOSRD preplace inst xlconstant_6 -pg 1 -lvl 8 -y 1250 -defaultsOSRD preplace inst xlconstant_7 -pg 1 -lvl 8 -y 1710 -defaultsOSRD @@ -67,7 +69,7 @@ preplace inst axi_interconnect_1 -pg 1 -lvl 8 -y 870 -defaultsOSRD preplace inst rst_wrc_1p_kintex7_0_62M -pg 1 -lvl 7 -y 850 -defaultsOSRD preplace inst processing_system7_0_axi_periph -pg 1 -lvl 2 -y 280 -defaultsOSRD preplace inst processing_system7_0 -pg 1 -lvl 7 -y 520 -defaultsOSRD -preplace netloc osc100_clk_i_1 1 0 9 NJ 680 NJ 680 NJ 680 NJ 680 NJ 680 NJ 720 NJ 720 NJ 710 NJ +preplace netloc osc100_clk_i_1 1 0 9 NJ 680 NJ 680 NJ 680 NJ 680 NJ 680 NJ 710 NJ 710 NJ 710 NJ preplace netloc fasec_hwtest_0_led_col_pl_o 1 9 1 NJ preplace netloc dig_in4_n_i_1 1 0 9 NJ 1200 NJ 1200 NJ 1200 NJ 1200 NJ 1200 NJ 1200 NJ 1200 NJ 1200 NJ preplace netloc processing_system7_0_FIXED_IO 1 7 3 NJ 450 NJ 450 NJ @@ -75,91 +77,93 @@ preplace netloc fasec_hwtest_0_dig_outs_i 1 9 1 NJ preplace netloc gtp_dedicated_clk_n_i_1 1 0 9 NJ 1640 NJ 1640 NJ 1640 NJ 1640 NJ 1640 NJ 1640 NJ 1640 NJ 1640 NJ preplace netloc wrc_1p_kintex7_0_dac_din_o 1 9 1 NJ preplace netloc gtp_dedicated_clk_p_i_1 1 0 9 NJ 1630 NJ 1630 NJ 1630 NJ 1630 NJ 1630 NJ 1630 NJ 1630 NJ 1630 NJ -preplace netloc wrc_1p_kintex7_0_clk_rx_rbclk_o 1 8 2 2980 1320 3330 -preplace netloc wrc_1p_kintex7_0_pps_o 1 8 2 2960 1340 3350 -preplace netloc axi_uartlite_0_tx 1 3 7 NJ 600 NJ 600 NJ 710 NJ 710 NJ 620 NJ 620 3390 +preplace netloc wrc_1p_kintex7_0_clk_rx_rbclk_o 1 8 2 2970 1320 3410 +preplace netloc wrc_1p_kintex7_0_pps_o 1 8 2 2950 1340 3430 +preplace netloc axi_uartlite_0_tx 1 3 7 NJ 600 NJ 600 NJ 700 NJ 700 NJ 610 NJ 610 3470 preplace netloc dig_in3_n_i_1 1 0 9 NJ 1180 NJ 1180 NJ 1180 NJ 1180 NJ 1180 NJ 1180 NJ 1180 NJ 1180 NJ -preplace netloc FMC1_PRSNTM2C_n_i_1 1 0 9 NJ 660 NJ 660 NJ 660 NJ 660 NJ 660 NJ 730 NJ 730 NJ 730 NJ +preplace netloc FMC1_PRSNTM2C_n_i_1 1 0 9 NJ 670 NJ 670 NJ 670 NJ 670 NJ 670 NJ 690 NJ 680 NJ 680 NJ preplace netloc dig_in1_i_1 1 0 9 NJ 1140 NJ 1140 NJ 1140 NJ 1140 NJ 1140 NJ 1140 NJ 1140 NJ 1140 NJ -preplace netloc xlconcat_0_dout 1 6 1 2040 +preplace netloc xlconcat_0_dout 1 6 1 1990 preplace netloc fasec_hwtest_0_FMC1_CLK0C2M_P_o 1 9 1 NJ -preplace netloc pb_gp_i_1 1 0 9 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 2930 +preplace netloc pb_gp_i_1 1 0 9 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 2900 preplace netloc wrc_1p_kintex7_0_dac_sclk_o 1 9 1 NJ preplace netloc fasec_hwtest_0_led_line_pl_o 1 9 1 NJ -preplace netloc processing_system7_0_axi_periph_M06_AXI 1 2 3 720 320 NJ 260 NJ -preplace netloc axi_wb_i2c_master_2_axi_int_o 1 5 5 1730 750 NJ 750 NJ 590 NJ 590 3400 +preplace netloc processing_system7_0_axi_periph_M06_AXI 1 2 3 680 250 NJ 250 NJ +preplace netloc axi_wb_i2c_master_2_axi_int_o 1 5 5 1670 750 NJ 750 NJ 590 NJ 590 3480 preplace netloc processing_system7_0_DDR 1 7 3 NJ 430 NJ 430 NJ preplace netloc FMC1_CLK0M2C_N_i_1 1 0 9 NJ 1040 NJ 1040 NJ 1040 NJ 1040 NJ 1040 NJ 1040 NJ 1040 NJ 1040 NJ preplace netloc wrc_1p_kintex7_0_dac_cs2_n_o 1 9 1 NJ preplace netloc axi_wb_i2c_master_2_i2c_master 1 9 1 NJ -preplace netloc axi_interconnect_1_M00_AXI 1 8 1 2870 -preplace netloc FMC2_CLK0M2C_N_i_1 1 0 9 NJ 670 NJ 670 NJ 670 NJ 670 NJ 670 NJ 700 NJ 700 NJ 700 NJ +preplace netloc axi_interconnect_1_M00_AXI 1 8 1 2860 +preplace netloc FMC2_CLK0M2C_N_i_1 1 0 9 NJ 660 NJ 660 NJ 660 NJ 660 NJ 660 NJ 950 NJ 950 NJ 730 NJ preplace netloc processing_system7_0_axi_periph_M05_AXI 1 2 2 N 310 NJ preplace netloc fasec_hwtest_0_dig_out6_n 1 9 1 NJ -preplace netloc processing_system7_0_FCLK_RESET0_N 1 0 8 30 690 NJ 690 NJ 690 NJ 690 NJ 690 NJ 780 2070 760 2460 +preplace netloc processing_system7_0_FCLK_RESET0_N 1 0 8 0 690 NJ 690 NJ 690 NJ 690 NJ 690 NJ 780 2040 760 2430 preplace netloc FMC2_PRSNTM2C_n_i_1 1 0 9 NJ 540 NJ 540 NJ 500 NJ 500 NJ 500 NJ 740 NJ 670 NJ 670 NJ -preplace netloc rst_wrc_1p_kintex7_0_62M_interconnect_aresetn 1 7 1 2490 -preplace netloc xadc_wiz_0_M_AXIS 1 3 1 1030 -preplace netloc processing_system7_0_axi_periph_M02_AXI 1 2 7 690 120 NJ 120 NJ 120 NJ 120 NJ 120 NJ 120 NJ -preplace netloc xadc_axis_fifo_adapter_0_M_AXIS 1 4 1 1280 -preplace netloc processing_system7_0_axi_periph_M07_AXI 1 2 1 680 -preplace netloc fasec_hwtest_0_intr_led_o 1 5 5 1740 760 NJ 680 NJ 680 NJ 680 3330 -preplace netloc wrc_1p_kintex7_0_gtp0_synced_led_o 1 8 2 2970 760 3370 +preplace netloc rst_wrc_1p_kintex7_0_62M_interconnect_aresetn 1 7 1 2520 +preplace netloc xadc_wiz_0_M_AXIS 1 3 1 990 +preplace netloc processing_system7_0_axi_periph_M03_AXI 1 2 7 NJ 260 NJ 260 NJ 410 NJ 410 NJ 290 NJ 290 N +preplace netloc processing_system7_0_axi_periph_M02_AXI 1 2 7 660 120 NJ 120 NJ 120 NJ 120 NJ 120 NJ 120 NJ +preplace netloc xadc_axis_fifo_adapter_0_M_AXIS 1 4 1 1240 +preplace netloc processing_system7_0_axi_periph_M07_AXI 1 2 1 650 +preplace netloc fasec_hwtest_0_intr_led_o 1 5 5 1680 760 NJ 740 NJ 620 NJ 620 3410 +preplace netloc wrc_1p_kintex7_0_gtp0_synced_led_o 1 8 2 2960 760 3460 preplace netloc fasec_hwtest_0_FMC2_CLK0C2M_N_o 1 9 1 NJ -preplace netloc rst_wrc_1p_kintex7_0_62M_peripheral_aresetn 1 7 2 2560 750 NJ -preplace netloc clk_25m_vcxo_i_1 1 0 9 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 2890 -preplace netloc axi_dma_0_M_AXI_S2MM 1 5 1 1670 -preplace netloc wrc_1p_kintex7_0_gtp0_link_led_o 1 8 2 2980 770 3360 +preplace netloc rst_wrc_1p_kintex7_0_62M_peripheral_aresetn 1 7 2 2530 700 NJ +preplace netloc clk_25m_vcxo_i_1 1 0 9 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 NJ 1610 2860 +preplace netloc axi_dma_0_M_AXI_S2MM 1 5 1 1650 +preplace netloc wrc_1p_kintex7_0_gtp0_link_led_o 1 8 2 2970 770 3450 preplace netloc fasec_hwtest_0_FMC2_CLK0C2M_P_o 1 9 1 NJ preplace netloc Vaux2_1 1 0 3 NJ 820 NJ 820 NJ preplace netloc Vp_Vn_1 1 0 3 NJ 760 NJ 760 NJ preplace netloc fasec_hwtest_0_FMC1_CLK0C2M_N_o 1 9 1 NJ preplace netloc fasec_hwtest_0_watchdog_pl_o 1 9 1 NJ -preplace netloc processing_system7_0_axi_periph_M01_AXI 1 2 1 730 +preplace netloc processing_system7_0_axi_periph_M01_AXI 1 2 1 700 preplace netloc fasec_hwtest_0_dig_out5_n 1 9 1 NJ preplace netloc FMC1_CLK0M2C_P_i_1 1 0 9 NJ 1020 NJ 1020 NJ 1020 NJ 1020 NJ 1020 NJ 1020 NJ 1020 NJ 1020 NJ preplace netloc Vaux0_1 1 0 3 NJ 780 NJ 780 NJ preplace netloc Net10 1 9 1 NJ -preplace netloc wrc_1p_kintex7_0_uart_txd_o 1 3 7 N 570 NJ 570 NJ 690 NJ 690 NJ 640 NJ 640 3380 -preplace netloc processing_system7_0_FCLK_CLK0 1 0 9 30 20 350 550 730 460 1010 230 1310 230 1740 360 2050 660 2470 570 2940 +preplace netloc wrc_1p_kintex7_0_uart_txd_o 1 3 7 N 570 NJ 570 NJ 720 NJ 720 NJ 630 NJ 630 3440 +preplace netloc processing_system7_0_FCLK_CLK0 1 0 9 0 20 320 550 700 460 980 230 1250 230 1690 360 2000 660 2490 570 2920 preplace netloc Net11 1 9 1 NJ +preplace netloc axi_wb_i2c_master_1_i2c_master 1 9 1 N preplace netloc Net2 1 9 1 NJ -preplace netloc fasec_hwtest_0_intr_o 1 5 5 1720 770 NJ 740 NJ 690 NJ 690 3320 +preplace netloc fasec_hwtest_0_intr_o 1 5 5 1660 770 NJ 730 NJ 640 NJ 640 3400 preplace netloc Net3 1 9 1 NJ -preplace netloc rst_processing_system7_0_100M_interconnect_aresetn 1 1 1 360 -preplace netloc processing_system7_0_axi_periph_M00_AXI 1 2 7 680 110 NJ 110 NJ 110 NJ 110 NJ 110 NJ 110 NJ +preplace netloc rst_processing_system7_0_100M_interconnect_aresetn 1 1 1 330 +preplace netloc processing_system7_0_axi_periph_M00_AXI 1 2 7 650 110 NJ 110 NJ 110 NJ 110 NJ 110 NJ 110 NJ preplace netloc fasec_hwtest_0_led_line_en_pl_o 1 9 1 NJ -preplace netloc wrc_1p_kintex7_0_s00_axi_aclk_o 1 6 4 2080 940 2540 650 NJ 650 3400 +preplace netloc wrc_1p_kintex7_0_s00_axi_aclk_o 1 6 4 2050 940 2540 650 NJ 650 3480 preplace netloc Net4 1 9 1 NJ preplace netloc Vaux8_1 1 0 3 NJ 840 NJ 840 NJ -preplace netloc wrc_1p_kintex7_0_gtp0_activity_led_o 1 8 2 2950 1330 3320 +preplace netloc wrc_1p_kintex7_0_gtp0_activity_led_o 1 8 2 2940 1330 3400 preplace netloc Net5 1 9 1 NJ preplace netloc xadc_wiz_0_ip2intc_irpt 1 3 3 NJ 540 NJ 540 N preplace netloc Net6 1 9 1 NJ preplace netloc xlconstant_6_dout 1 8 1 NJ preplace netloc Net7 1 9 1 NJ preplace netloc dig_in2_i_1 1 0 9 NJ 1160 NJ 1160 NJ 1160 NJ 1160 NJ 1160 NJ 1160 NJ 1160 NJ 1160 NJ -preplace netloc axi_uartlite_0_interrupt 1 3 3 1040 590 NJ 590 NJ +preplace netloc axi_uartlite_0_interrupt 1 3 3 1000 590 NJ 590 NJ preplace netloc Vaux10_1 1 0 3 NJ 880 NJ 880 NJ -preplace netloc processing_system7_0_M_AXI_GP0 1 1 7 380 20 NJ 20 NJ 20 NJ 20 NJ 20 NJ 20 2460 -preplace netloc wrc_1p_kintex7_0_clk_ref_o 1 8 2 2970 1310 3340 +preplace netloc processing_system7_0_M_AXI_GP0 1 1 7 350 20 NJ 20 NJ 20 NJ 20 NJ 20 NJ 20 2430 +preplace netloc wrc_1p_kintex7_0_clk_ref_o 1 8 2 2960 1310 3420 preplace netloc Vaux1_1 1 0 3 NJ 800 NJ 800 NJ preplace netloc Vaux9_1 1 0 3 NJ 860 NJ 860 NJ -preplace netloc axi_dma_0_s2mm_introut 1 5 1 1730 -preplace netloc processing_system7_0_axi_periph_M04_AXI 1 2 1 690 -preplace netloc rst_processing_system7_0_100M_peripheral_aresetn 1 1 8 370 10 700 250 1050 250 1290 410 1720 380 NJ 380 NJ 380 2900 -preplace netloc FMC2_CLK0M2C_P_i_1 1 0 9 NJ 580 NJ 580 NJ 490 NJ 490 NJ 490 NJ 950 NJ 950 NJ 740 NJ +preplace netloc axi_dma_0_s2mm_introut 1 5 1 1680 +preplace netloc processing_system7_0_axi_periph_M04_AXI 1 2 1 660 +preplace netloc rst_processing_system7_0_100M_peripheral_aresetn 1 1 8 340 10 670 470 1010 480 1250 420 1670 380 NJ 380 NJ 380 2930 +preplace netloc FMC2_CLK0M2C_P_i_1 1 0 9 NJ 580 NJ 580 NJ 490 NJ 490 NJ 490 NJ 730 NJ 690 NJ 690 NJ preplace netloc wrc_1p_kintex7_0_dac_cs1_n_o 1 9 1 NJ preplace netloc wrc_1p_kintex7_0_gtp_wr 1 9 1 NJ -preplace netloc S00_AXI_1 1 7 1 2510 -preplace netloc axi_interconnect_0_M00_AXI 1 6 1 2080 +preplace netloc S00_AXI_1 1 7 1 2520 +preplace netloc axi_interconnect_0_M00_AXI 1 6 1 2050 preplace netloc xlconstant_7_dout 1 8 1 NJ -preplace netloc axi_wb_i2c_master_0_axi_int_o 1 3 3 1020 480 NJ 480 NJ -levelinfo -pg 1 -40 190 530 880 1170 1470 1890 2270 2710 3150 3420 -top 0 -bot 1940 +preplace netloc axi_wb_i2c_master_0_axi_int_o 1 3 3 970 220 NJ 220 NJ +levelinfo -pg 1 -70 160 500 840 1120 1420 1840 2240 2690 3230 3500 -top 0 -bot 1940 ", } { - da_axi4_cnt: "14", + da_axi4_cnt: "16", da_board_cnt: "5", da_ps7_cnt: "1", } \ No newline at end of file diff --git a/FASEC_prototype.xpr b/FASEC_prototype.xpr index 85da56449c69cb77851913b25265f19dd0d30b42..86274f7192c73c26cfb9eb65aaaea84097dff2ad 100644 --- a/FASEC_prototype.xpr +++ b/FASEC_prototype.xpr @@ -10,12 +10,12 @@ <Option Name="Part" Val="xc7z030ffg676-2"/> <Option Name="CompiledLibDir" Val="$PPRDIR/../../../../../../local/EDA/xilinx_simlib"/> <Option Name="CompiledLibDirXSim" Val=""/> - <Option Name="CompiledLibDirModelSim" Val="/home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.cache/compile_simlib/modelsim"/> - <Option Name="CompiledLibDirQuesta" Val="/home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.cache/compile_simlib/questa"/> - <Option Name="CompiledLibDirIES" Val="/home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.cache/compile_simlib/ies"/> - <Option Name="CompiledLibDirVCS" Val="/home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.cache/compile_simlib/vcs"/> - <Option Name="CompiledLibDirRiviera" Val="/home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.cache/compile_simlib/riviera"/> - <Option Name="CompiledLibDirActivehdl" Val="/home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.cache/compile_simlib/activehdl"/> + <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/> + <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/> + <Option Name="CompiledLibDirIES" Val="$PCACHEDIR/compile_simlib/ies"/> + <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/> + <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/> + <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/> <Option Name="TargetLanguage" Val="VHDL"/> <Option Name="BoardPart" Val=""/> <Option Name="ActiveSimSet" Val="sim_1"/> @@ -53,68 +53,77 @@ <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconcat_0_0/system_design_xlconcat_0_0.xci"> - <Proxy FileSetName="system_design_xlconcat_0_0"/> - </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconstant_6_0/system_design_xlconstant_6_0.xci"> - <Proxy FileSetName="system_design_xlconstant_6_0"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_rst_processing_system7_0_100M_2/system_design_rst_processing_system7_0_100M_2.xci"> + <Proxy FileSetName="system_design_rst_processing_system7_0_100M_2"/> </CompFileExtendedInfo> <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xci"> <Proxy FileSetName="system_design_processing_system7_0_0"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xci"> - <Proxy FileSetName="system_design_fasec_hwtest_0_0"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hdl/system_design.vhd"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_rst_wrc_1p_kintex7_0_62M_0/system_design_rst_wrc_1p_kintex7_0_62M_0.xci"> + <Proxy FileSetName="system_design_rst_wrc_1p_kintex7_0_62M_0"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_processing_system7_0_axi_periph_3/system_design_processing_system7_0_axi_periph_3.xci"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_rst_processing_system7_0_100M_2/system_design_rst_processing_system7_0_100M_2.xci"> - <Proxy FileSetName="system_design_rst_processing_system7_0_100M_2"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_wb_i2c_master_0_1/system_design_axi_wb_i2c_master_0_1.xci"> + <Proxy FileSetName="system_design_axi_wb_i2c_master_0_1"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xbar_0/system_design_xbar_0.xci"> - <Proxy FileSetName="system_design_xbar_0"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_wb_i2c_master_2_0/system_design_axi_wb_i2c_master_2_0.xci"> + <Proxy FileSetName="system_design_axi_wb_i2c_master_2_0"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconstant_3_2/system_design_xlconstant_3_2.xci"> - <Proxy FileSetName="system_design_xlconstant_3_2"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconstant_6_0/system_design_xlconstant_6_0.xci"> + <Proxy FileSetName="system_design_xlconstant_6_0"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xadc_wiz_0_0/system_design_xadc_wiz_0_0.xci"> - <Proxy FileSetName="system_design_xadc_wiz_0_0"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_uartlite_0_0/system_design_axi_uartlite_0_0.xci"> + <Proxy FileSetName="system_design_axi_uartlite_0_0"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xadc_axis_fifo_adapter_0_0/system_design_xadc_axis_fifo_adapter_0_0.xci"> - <Proxy FileSetName="system_design_xadc_axis_fifo_adapter_0_0"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_wrc_1p_kintex7_0_0/system_design_wrc_1p_kintex7_0_0.xci"> + <Proxy FileSetName="system_design_wrc_1p_kintex7_0_0"/> </CompFileExtendedInfo> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconcat_0_0/system_design_xlconcat_0_0.xci"> + <Proxy FileSetName="system_design_xlconcat_0_0"/> + </CompFileExtendedInfo> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_interconnect_0_0/system_design_axi_interconnect_0_0.xci"/> <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_dma_0_0/system_design_axi_dma_0_0.xci"> <Proxy FileSetName="system_design_axi_dma_0_0"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_interconnect_0_0/system_design_axi_interconnect_0_0.xci"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_uartlite_0_0/system_design_axi_uartlite_0_0.xci"> - <Proxy FileSetName="system_design_axi_uartlite_0_0"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xadc_axis_fifo_adapter_0_0/system_design_xadc_axis_fifo_adapter_0_0.xci"> + <Proxy FileSetName="system_design_xadc_axis_fifo_adapter_0_0"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_wb_i2c_master_2_0/system_design_axi_wb_i2c_master_2_0.xci"> - <Proxy FileSetName="system_design_axi_wb_i2c_master_2_0"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xadc_wiz_0_0/system_design_xadc_wiz_0_0.xci"> + <Proxy FileSetName="system_design_xadc_wiz_0_0"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_wb_i2c_master_0_1/system_design_axi_wb_i2c_master_0_1.xci"> - <Proxy FileSetName="system_design_axi_wb_i2c_master_0_1"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconstant_3_2/system_design_xlconstant_3_2.xci"> + <Proxy FileSetName="system_design_xlconstant_3_2"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_wrc_1p_kintex7_0_0/system_design_wrc_1p_kintex7_0_0.xci"> - <Proxy FileSetName="system_design_wrc_1p_kintex7_0_0"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xbar_0/system_design_xbar_0.xci"> + <Proxy FileSetName="system_design_xbar_0"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_rst_wrc_1p_kintex7_0_62M_0/system_design_rst_wrc_1p_kintex7_0_62M_0.xci"> - <Proxy FileSetName="system_design_rst_wrc_1p_kintex7_0_62M_0"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xci"> + <Proxy FileSetName="system_design_fasec_hwtest_0_0"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_interconnect_1_0/system_design_axi_interconnect_1_0.xci"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hdl/system_design.vhd"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_auto_pc_0/system_design_auto_pc_0.xci"> - <Proxy FileSetName="system_design_auto_pc_0"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_processing_system7_0_axi_periph_3/system_design_processing_system7_0_axi_periph_3.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="system_design_ooc.xdc"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xbar_1/system_design_xbar_1.xci"> + <Proxy FileSetName="system_design_xbar_1"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_auto_pc_1/system_design_auto_pc_1.xci"> - <Proxy FileSetName="system_design_auto_pc_1"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hw_handoff/system_design_bd.tcl"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hw_handoff/system_design.hwh"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hdl/system_design.hwdef"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xci"> + <Proxy FileSetName="system_design_axi_wb_i2c_master_1_0"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="system_design_ooc.xdc"/> <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_auto_pc_2/system_design_auto_pc_2.xci"> <Proxy FileSetName="system_design_auto_pc_2"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hdl/system_design.hwdef"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hw_handoff/system_design.hwh"/> - <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hw_handoff/system_design_bd.tcl"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_auto_pc_3/system_design_auto_pc_3.xci"> + <Proxy FileSetName="system_design_auto_pc_3"/> + </CompFileExtendedInfo> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_auto_pc_1/system_design_auto_pc_1.xci"> + <Proxy FileSetName="system_design_auto_pc_1"/> + </CompFileExtendedInfo> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_auto_pc_0/system_design_auto_pc_0.xci"> + <Proxy FileSetName="system_design_auto_pc_0"/> + </CompFileExtendedInfo> </File> <File Path="$PSRCDIR/sources_1/bd/system_design/hdl/system_design_wrapper.vhd"> <FileInfo> @@ -244,15 +253,15 @@ <Option Name="UseBlackboxStub" Val="1"/> </Config> </FileSet> - <FileSet Name="system_design_auto_pc_0" Type="BlockSrcs" RelSrcDir="$PSRCDIR/system_design_auto_pc_0"> + <FileSet Name="system_design_xbar_1" Type="BlockSrcs" RelSrcDir="$PSRCDIR/system_design_xbar_1"> <Config> - <Option Name="TopModule" Val="system_design_auto_pc_0"/> + <Option Name="TopModule" Val="system_design_xbar_1"/> <Option Name="UseBlackboxStub" Val="1"/> </Config> </FileSet> - <FileSet Name="system_design_auto_pc_1" Type="BlockSrcs" RelSrcDir="$PSRCDIR/system_design_auto_pc_1"> + <FileSet Name="system_design_axi_wb_i2c_master_1_0" Type="BlockSrcs" RelSrcDir="$PSRCDIR/system_design_axi_wb_i2c_master_1_0"> <Config> - <Option Name="TopModule" Val="system_design_auto_pc_1"/> + <Option Name="TopModule" Val="system_design_axi_wb_i2c_master_1_0"/> <Option Name="UseBlackboxStub" Val="1"/> </Config> </FileSet> @@ -262,6 +271,24 @@ <Option Name="UseBlackboxStub" Val="1"/> </Config> </FileSet> + <FileSet Name="system_design_auto_pc_3" Type="BlockSrcs" RelSrcDir="$PSRCDIR/system_design_auto_pc_3"> + <Config> + <Option Name="TopModule" Val="system_design_auto_pc_3"/> + <Option Name="UseBlackboxStub" Val="1"/> + </Config> + </FileSet> + <FileSet Name="system_design_auto_pc_1" Type="BlockSrcs" RelSrcDir="$PSRCDIR/system_design_auto_pc_1"> + <Config> + <Option Name="TopModule" Val="system_design_auto_pc_1"/> + <Option Name="UseBlackboxStub" Val="1"/> + </Config> + </FileSet> + <FileSet Name="system_design_auto_pc_0" Type="BlockSrcs" RelSrcDir="$PSRCDIR/system_design_auto_pc_0"> + <Config> + <Option Name="TopModule" Val="system_design_auto_pc_0"/> + <Option Name="UseBlackboxStub" Val="1"/> + </Config> + </FileSet> </FileSets> <Simulators> <Simulator Name="XSim"> @@ -397,7 +424,7 @@ </Strategy> <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> </Run> - <Run Id="system_design_auto_pc_0_synth_1" Type="Ft3:Synth" SrcSet="system_design_auto_pc_0" Part="xc7z030ffg676-2" ConstrsSet="system_design_auto_pc_0" Description="Vivado Synthesis Defaults" Dir="$PRUNDIR/system_design_auto_pc_0_synth_1" IncludeInArchive="true"> + <Run Id="system_design_xbar_1_synth_1" Type="Ft3:Synth" SrcSet="system_design_xbar_1" Part="xc7z030ffg676-2" ConstrsSet="system_design_xbar_1" Description="Vivado Synthesis Defaults" Dir="$PRUNDIR/system_design_xbar_1_synth_1" IncludeInArchive="true"> <Strategy Version="1" Minor="2"> <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2016"> <Desc>Vivado Synthesis Defaults</Desc> @@ -406,7 +433,7 @@ </Strategy> <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> </Run> - <Run Id="system_design_auto_pc_1_synth_1" Type="Ft3:Synth" SrcSet="system_design_auto_pc_1" Part="xc7z030ffg676-2" ConstrsSet="system_design_auto_pc_1" Description="Vivado Synthesis Defaults" Dir="$PRUNDIR/system_design_auto_pc_1_synth_1" IncludeInArchive="true"> + <Run Id="system_design_axi_wb_i2c_master_1_0_synth_1" Type="Ft3:Synth" SrcSet="system_design_axi_wb_i2c_master_1_0" Part="xc7z030ffg676-2" ConstrsSet="system_design_axi_wb_i2c_master_1_0" Description="Vivado Synthesis Defaults" Dir="$PRUNDIR/system_design_axi_wb_i2c_master_1_0_synth_1" IncludeInArchive="true"> <Strategy Version="1" Minor="2"> <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2016"> <Desc>Vivado Synthesis Defaults</Desc> @@ -424,6 +451,33 @@ </Strategy> <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> </Run> + <Run Id="system_design_auto_pc_3_synth_1" Type="Ft3:Synth" SrcSet="system_design_auto_pc_3" Part="xc7z030ffg676-2" ConstrsSet="system_design_auto_pc_3" Description="Vivado Synthesis Defaults" Dir="$PRUNDIR/system_design_auto_pc_3_synth_1" IncludeInArchive="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2016"> + <Desc>Vivado Synthesis Defaults</Desc> + </StratHandle> + <Step Id="synth_design"/> + </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> + </Run> + <Run Id="system_design_auto_pc_1_synth_1" Type="Ft3:Synth" SrcSet="system_design_auto_pc_1" Part="xc7z030ffg676-2" ConstrsSet="system_design_auto_pc_1" Description="Vivado Synthesis Defaults" Dir="$PRUNDIR/system_design_auto_pc_1_synth_1" IncludeInArchive="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2016"> + <Desc>Vivado Synthesis Defaults</Desc> + </StratHandle> + <Step Id="synth_design"/> + </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> + </Run> + <Run Id="system_design_auto_pc_0_synth_1" Type="Ft3:Synth" SrcSet="system_design_auto_pc_0" Part="xc7z030ffg676-2" ConstrsSet="system_design_auto_pc_0" Description="Vivado Synthesis Defaults" Dir="$PRUNDIR/system_design_auto_pc_0_synth_1" IncludeInArchive="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2016"> + <Desc>Vivado Synthesis Defaults</Desc> + </StratHandle> + <Step Id="synth_design"/> + </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> + </Run> <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7z030ffg676-2" ConstrsSet="constrs_1" Description="Default settings for Implementation." State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true"> <Strategy Version="1" Minor="2"> <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2016"/> @@ -651,7 +705,7 @@ <Step Id="write_bitstream"/> </Strategy> </Run> - <Run Id="system_design_auto_pc_0_impl_1" Type="Ft2:EntireDesign" Part="xc7z030ffg676-2" ConstrsSet="system_design_auto_pc_0" Description="Default settings for Implementation." SynthRun="system_design_auto_pc_0_synth_1" IncludeInArchive="false"> + <Run Id="system_design_xbar_1_impl_1" Type="Ft2:EntireDesign" Part="xc7z030ffg676-2" ConstrsSet="system_design_xbar_1" Description="Default settings for Implementation." SynthRun="system_design_xbar_1_synth_1" IncludeInArchive="false"> <Strategy Version="1" Minor="2"> <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2016"> <Desc>Default settings for Implementation.</Desc> @@ -667,7 +721,7 @@ <Step Id="write_bitstream"/> </Strategy> </Run> - <Run Id="system_design_auto_pc_1_impl_1" Type="Ft2:EntireDesign" Part="xc7z030ffg676-2" ConstrsSet="system_design_auto_pc_1" Description="Default settings for Implementation." SynthRun="system_design_auto_pc_1_synth_1" IncludeInArchive="false"> + <Run Id="system_design_axi_wb_i2c_master_1_0_impl_1" Type="Ft2:EntireDesign" Part="xc7z030ffg676-2" ConstrsSet="system_design_axi_wb_i2c_master_1_0" Description="Default settings for Implementation." SynthRun="system_design_axi_wb_i2c_master_1_0_synth_1" IncludeInArchive="false"> <Strategy Version="1" Minor="2"> <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2016"> <Desc>Default settings for Implementation.</Desc> @@ -699,5 +753,53 @@ <Step Id="write_bitstream"/> </Strategy> </Run> + <Run Id="system_design_auto_pc_3_impl_1" Type="Ft2:EntireDesign" Part="xc7z030ffg676-2" ConstrsSet="system_design_auto_pc_3" Description="Default settings for Implementation." SynthRun="system_design_auto_pc_3_synth_1" IncludeInArchive="false"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2016"> + <Desc>Default settings for Implementation.</Desc> + </StratHandle> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"/> + </Strategy> + </Run> + <Run Id="system_design_auto_pc_1_impl_1" Type="Ft2:EntireDesign" Part="xc7z030ffg676-2" ConstrsSet="system_design_auto_pc_1" Description="Default settings for Implementation." SynthRun="system_design_auto_pc_1_synth_1" IncludeInArchive="false"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2016"> + <Desc>Default settings for Implementation.</Desc> + </StratHandle> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"/> + </Strategy> + </Run> + <Run Id="system_design_auto_pc_0_impl_1" Type="Ft2:EntireDesign" Part="xc7z030ffg676-2" ConstrsSet="system_design_auto_pc_0" Description="Default settings for Implementation." SynthRun="system_design_auto_pc_0_synth_1" IncludeInArchive="false"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2016"> + <Desc>Default settings for Implementation.</Desc> + </StratHandle> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"/> + </Strategy> + </Run> </Runs> </Project> diff --git a/firmware/system_design_wrapper.bit b/firmware/system_design_wrapper.bit index bb45275afa6894d46be479e94b3d5a612a99b802..8f2f8cbeef52f9c56bf60ea91d180b32d89ade65 100644 Binary files a/firmware/system_design_wrapper.bit and b/firmware/system_design_wrapper.bit differ diff --git a/petalinux_hw_export/readme.txt b/petalinux_hw_export/readme.txt new file mode 100644 index 0000000000000000000000000000000000000000..04b45e21ad9aa0b6d9c650da387cfbd29a05a113 --- /dev/null +++ b/petalinux_hw_export/readme.txt @@ -0,0 +1,2 @@ +be careful, the .hdf archive also contains the bitstream (.bit), which is +extracted and used on a '$ petalinux-build -x package'! diff --git a/petalinux_hw_export/system_design_wrapper.hdf b/petalinux_hw_export/system_design_wrapper.hdf index 19f9911df7164149dcf44079f9f8cc4991fc04aa..7ff763d79aabf87e29cfbfda9036f4f3e01d5f97 100644 Binary files a/petalinux_hw_export/system_design_wrapper.hdf and b/petalinux_hw_export/system_design_wrapper.hdf differ