Commit 7ad2ad9c authored by Dave Newbold's avatar Dave Newbold

Adding kack, removing veto

parent 7265401b
......@@ -63,7 +63,7 @@ architecture rtl of sc_trig is
signal lq: std_logic_vector(15 downto 0);
signal rveto, lvalid, lack, mark, err: std_logic;
signal zs_cfg: std_logic_vector(31 downto 0);
signal veto_p, veto_i, keep_i, flush_i: std_logic_vector(N_CHAN - 1 downto 0);
signal keep_i, flush_i: std_logic;
signal b_q, t_q: std_logic_vector(31 downto 0);
signal b_go, t_go, b_valid, t_valid, b_blkend, t_blkend, blkend: std_logic;
signal tctr: std_logic_vector(27 downto 0);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment