Commit 90c5ed25 authored by Grzegorz Daniluk's avatar Grzegorz Daniluk

update xsa for v2

parent 88de7f75
../../gw_all/diot_sb_hw_v2_golden_2021_12_16.xsa
\ No newline at end of file
../../gw_all/diot_sb_v2_golden_211217.xsa
\ No newline at end of file
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment