Commit 31edd84f authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

hdl: Made SFP EEPROM test work

The issue was an error in the schematics, where the names of the SDA line and
the RATE_SELECT lines were swapped. This led to the definitions of the pins in
the UCF file to be wrong and the SFP test not working.

With the proper pin names in the UCF file, the SFP test now works.
parent c514a21a
......@@ -294,14 +294,14 @@ NET "dac_125_sync_n_o" IOSTANDARD = LVCMOS33;
#-----------------------------------------------------------------------------
NET "sfp_los_i" LOC = G3;
NET "sfp_los_i" IOSTANDARD = LVCMOS33;
NET "sfp_mod_def0_i" LOC = K8;
NET "sfp_mod_def0_i" IOSTANDARD = LVCMOS33;
NET "sfp_present_i" LOC = G4;
NET "sfp_present_i" IOSTANDARD = LVCMOS33;
NET "sfp_rate_select_o" LOC = C4;
NET "sfp_rate_select_o" IOSTANDARD = LVCMOS33;
NET "sfp_mod_def1_b" LOC = G4;
NET "sfp_mod_def1_b" IOSTANDARD = LVCMOS33;
NET "sfp_mod_def2_b" LOC = F3;
NET "sfp_mod_def2_b" IOSTANDARD = LVCMOS33;
NET "sfp_scl_b" LOC = F3;
NET "sfp_scl_b" IOSTANDARD = LVCMOS33;
NET "sfp_sda_b" LOC = E3;
NET "sfp_sda_b" IOSTANDARD = LVCMOS33;
NET "sfp_tx_disable_o" LOC = E4;
NET "sfp_tx_disable_o" IOSTANDARD = LVCMOS33;
NET "sfp_tx_fault_i" LOC = D2;
......
......@@ -110,10 +110,10 @@ entity pts is
-- SFP lines
sfp_los_i : in std_logic;
sfp_mod_def0_i : in std_logic;
sfp_present_i : in std_logic;
sfp_rate_select_o : out std_logic;
sfp_mod_def1_b : inout std_logic;
sfp_mod_def2_b : inout std_logic;
sfp_scl_b : inout std_logic;
sfp_sda_b : inout std_logic;
sfp_tx_disable_o : out std_logic;
sfp_tx_fault_i : in std_logic;
......@@ -1145,7 +1145,7 @@ begin
--============================================================================
-- SFP EEPROM test logic
-- * test J1 SFP connector using an SFP loopback module
-- * test SFP I2C EEPROM with an I2C master
--============================================================================
-- First, instantiate an I2C master to handle SFP communication
cmp_sfp_eeprom_i2c : wb_i2c_master
......@@ -1176,10 +1176,10 @@ begin
);
-- and assign the ports and tri-state buffers
sfp_mod_def1_b <= i2c_scl_to_sfp when (i2c_sfp_scl_en = '0') else 'Z';
i2c_scl_fr_sfp <= sfp_mod_def1_b;
sfp_mod_def2_b <= i2c_sda_to_sfp when (i2c_sfp_sda_en = '0') else 'Z';
i2c_sda_fr_sfp <= sfp_mod_def2_b;
sfp_scl_b <= i2c_scl_to_sfp when (i2c_sfp_scl_en = '0') else 'Z';
i2c_scl_fr_sfp <= sfp_scl_b;
sfp_sda_b <= i2c_sda_to_sfp when (i2c_sfp_sda_en = '0') else 'Z';
i2c_sda_fr_sfp <= sfp_sda_b;
--============================================================================
-- SFP loopback test logic
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment