Commit ea627d79 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

Golden: added ucf files and modified gw version num

parent d373a166
This diff is collapsed.
......@@ -162,7 +162,7 @@ architecture arch of conv_ttl_rs485 is
constant c_BOARD_ID : std_logic_vector(31 downto 0) := x"54343835";
-- Gateware version
constant c_GWVERS : std_logic_vector(7 downto 0) := x"20";
constant c_GWVERS : std_logic_vector(7 downto 0) := x"01";
--============================================================================
-- Type declarations
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment