Commit c2634651 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

fixed size of inv led vector

parent 7c61ef81
......@@ -215,7 +215,7 @@ architecture arch of conv_ttl_rs485 is
-- Channel LED signals
signal led_pulse : std_logic_vector(c_nr_chans-1 downto 0);
signal led_inv_pulse : std_logic_vector(c_nr_chans-1 downto 0);
signal led_inv_pulse : std_logic_vector(c_nr_inv_chans-1 downto 0);
-- I2C LEDs
signal led_i2c : std_logic;
signal led_i2c_err : std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment