Commit a4a7ec2c authored by Evangelia Gousiou's avatar Evangelia Gousiou

test bench added check for comparison of front and rear output; fixed rs485_fs input

parent 03a4b568
This diff is collapsed.
......@@ -245,22 +245,44 @@ end component conv_ttl_rs485;
ns_on : in time;
ns_off : in time);
procedure settings_config (constant glitch_filter_en : in std_logic;
constant ttl_out_bar_en : in std_logic;
signal sw_gp_n : out std_logic_vector(7 downto 0);
signal sw_other : out std_logic_vector(31 downto 0);
signal pcbrev : out std_logic_vector(5 downto 0);
signal rtmm : out std_logic_vector(2 downto 0));
end testbench_pkg;
package body testbench_pkg is
--==================================================================================================
-- Procedures
--==================================================================================================
procedure settings_config (signal sw_gp_n_in : out std_logic_vector(7 downto 0);
signal sw_other_in : out std_logic_vector(31 downto 0);
signal pcbrev : out std_logic_vector(5 downto 0);
signal rtmm_in : out std_logic_vector(2 downto 0)) is
procedure settings_config (constant glitch_filter_en : in std_logic;
constant ttl_out_bar_en : in std_logic;
signal sw_gp_n : out std_logic_vector(7 downto 0);
signal sw_other : out std_logic_vector(31 downto 0);
signal pcbrev : out std_logic_vector(5 downto 0);
signal rtmm : out std_logic_vector(2 downto 0)) is
begin
sw_gp_n_in(0) <= '1'; -- disable glitch filter ?
sw_gp_n_in(1) <= '1'; --
sw_gp_n_in(7 downto 2) <= (others => '0');
sw_other_in <= (others => '0');
pcbrev <= "111100";
rtmm_in <= (others => '0');
sw_gp_n(7) <= glitch_filter_en;
if glitch_filter_en = '1' then
print_now("Glitch filter enabled");
else
print_now("Glitch filter disabled");
end if;
sw_gp_n(0) <= ttl_out_bar_en;
if ttl_out_bar_en = '1' then
print_now("TTL output BAR enabled");
else
print_now("TTL output BAR disabled");
end if;
sw_gp_n(6 downto 1) <= (others => '0'); -- not used
sw_other <= (others => '0'); -- not used
pcbrev <= "111100"; -- not used
rtmm <= (others => '0'); -- not used
end procedure;
----------------------------------------------------------------------------------------------------
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment