Commit 7c61ef81 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

added to the top entity the pcb version number coming from the pcb. connected…

added to the top entity the pcb version number coming from the pcb. connected this to conv-common-gw for hwvers register
parent 8aa6037c
...@@ -69,6 +69,9 @@ entity conv_ttl_rs485 is ...@@ -69,6 +69,9 @@ entity conv_ttl_rs485 is
vme_ga_i : in std_logic_vector(4 downto 0); vme_ga_i : in std_logic_vector(4 downto 0);
vme_gap_i : in std_logic; vme_gap_i : in std_logic;
-- PCB version recognition
pcbrev_i : in std_logic_vector(5 downto 0);
-- Channel enable -- Channel enable
global_oen_o : out std_logic; global_oen_o : out std_logic;
ttl_oen_o : out std_logic; ttl_oen_o : out std_logic;
...@@ -432,6 +435,9 @@ begin ...@@ -432,6 +435,9 @@ begin
sw_gp_i => sw_gp, sw_gp_i => sw_gp,
sw_other_i => sw_other, sw_other_i => sw_other,
-- PCB Version information
hwvers_i => pcbrev_i,
-- RTM lines -- RTM lines
rtmm_i => rtmm_i, rtmm_i => rtmm_i,
rtmp_i => rtmp_i, rtmp_i => rtmp_i,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment