Commit 78e79105 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

pulse leds set to +ve or -ve logic depending on the version of the board

parent c2634651
......@@ -357,15 +357,16 @@ begin
-- Glitch filter active-low enable signal
gf_en_n_i => sw_gp_n_i(0),
-- Burst mode enable signal. Mode disabled for all versions of board
-- Burst mode enable signal. Disabled in conv-ttl-rs485
burst_en_n_i => '1',
-- Pulse width selection, port low means 250ns, high means 1.2us.
-- Switch to determine short or long pulse mode.
-- ON switch means SHORT 250ns pulse repetition with max frequency 2MHz
-- OFF switch means LONG 1.2us pulse repetition with max freq ~104kHz
-- In conv-ttl-rs485 this switch is not meaningful
pulse_width_sel_n_i => '1',
pulse_width_sel_n_i => '0',
-- Channel enable
global_ch_oen_o => global_oen_o,
......@@ -473,9 +474,17 @@ begin
rs485_o <= pulse_out;
-- LED outputs
led_front_n_o <= not led_pulse;
led_front_inv_n_o <= not led_inv_pulse;
led_rear_n_o <= not led_pulse;
-- Boards earlier than v4 do not use -ve logic for LEDs.
-- For these LED pulse signals are still in +ve logic.
led_front_n_o <= led_pulse when pcbrev_i (5 downto 0) = "000000"
else not led_pulse;
led_front_inv_n_o <= led_inv_pulse when pcbrev_i (5 downto 0) = "000000"
else not led_inv_pulse;
led_rear_n_o <= led_pulse when pcbrev_i (5 downto 0) = "000000"
else not led_pulse;
-- INV-TTL outputs
inv_pulse_in_n <= inv_n_i;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment