Commit 0a65ba05 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

DOC: updated ith new register names

parent caf49fc3
...@@ -9,7 +9,7 @@ ...@@ -9,7 +9,7 @@
\noindent \rule{\textwidth}{.1cm} \noindent \rule{\textwidth}{.1cm}
\hfill February 09, 2017 \hfill September 27, 2018
\vspace*{3cm} \vspace*{3cm}
...@@ -24,8 +24,7 @@ ...@@ -24,8 +24,7 @@
%--------------------------------------------------------------- %---------------------------------------------------------------
% name % name
%--------------------------------------------------------------- %---------------------------------------------------------------
\noindent {\Large \textbf{Theodor-Adrian Stana (CERN/BE-CO-HT)}}\\ \noindent {\Large \textbf{(CERN/BE-CO-HT)}}\\
\noindent { \textit{Last modified by Denia Bouhired-Ferrag (CERN/BE-CO-HT)}}
\noindent \rule{\textwidth}{.05cm} \noindent \rule{\textwidth}{.05cm}
......
...@@ -21,18 +21,18 @@ Base address: 0x000 ...@@ -21,18 +21,18 @@ Base address: 0x000
0x4& Note(1)& SR & Status Register\\ 0x4& Note(1)& SR & Status Register\\
0x8& 0x00000000 & ERR & Error Register\\ 0x8& 0x00000000 & ERR & Error Register\\
0xc& 0x00000000 & CR & Control Register\\ 0xc& 0x00000000 & CR & Control Register\\
0x10& 0x00000000 & CH1TTLPCR & Channel 1 TTL Pulse Counter Register\\ 0x10& 0x00000000 & CH1FPPCR & Channel 1 TTL Pulse Counter Register\\
0x14& 0x00000000 & CH2TTLPCR & Channel 2 TTL Pulse Counter Register\\ 0x14& 0x00000000 & CH2FPPCR & Channel 2 TTL Pulse Counter Register\\
0x18& 0x00000000 & CH3TTLPCR & Channel 3 TTL Pulse Counter Register\\ 0x18& 0x00000000 & CH3FPPCR & Channel 3 TTL Pulse Counter Register\\
0x1c& 0x00000000 & CH4TTLPCR & Channel 4 TTL Pulse Counter Register\\ 0x1c& 0x00000000 & CH4FPPCR & Channel 4 TTL Pulse Counter Register\\
0x20& 0x00000000 & CH5TTLPCR & Channel 5 TTL Pulse Counter Register\\ 0x20& 0x00000000 & CH5FPPCR & Channel 5 TTL Pulse Counter Register\\
0x24& 0x00000000 & CH6TTLPCR & Channel 6 TTL Pulse Counter Register\\ 0x24& 0x00000000 & CH6FPPCR & Channel 6 TTL Pulse Counter Register\\
0x28& 0x00000000 & CH1BLOPCR & Channel 1 BLO Pulse Counter Register\\ 0x28& 0x00000000 & CH1RPPCR & Channel 1 BLO Pulse Counter Register\\
0x2c& 0x00000000 & CH2BLOPCR & Channel 2 BLO Pulse Counter Register\\ 0x2c& 0x00000000 & CH2RPPCR & Channel 2 BLO Pulse Counter Register\\
0x30& 0x00000000 & CH3BLOPCR & Channel 3 BLO Pulse Counter Register\\ 0x30& 0x00000000 & CH3RPPCR & Channel 3 BLO Pulse Counter Register\\
0x34& 0x00000000 & CH4BLOPCR & Channel 4 BLO Pulse Counter Register\\ 0x34& 0x00000000 & CH4RPPCR & Channel 4 BLO Pulse Counter Register\\
0x38& 0x00000000 & CH5BLOPCR & Channel 5 BLO Pulse Counter Register\\ 0x38& 0x00000000 & CH5RPPCR & Channel 5 BLO Pulse Counter Register\\
0x3c& 0x00000000 & CH6BLOPCR & Channel 6 BLO Pulse Counter Register\\ 0x3c& 0x00000000 & CH6RPPCR & Channel 6 BLO Pulse Counter Register\\
0x40& 0x00000000 & TVLR & Time Value Low Register\\ 0x40& 0x00000000 & TVLR & Time Value Low Register\\
0x44& 0x00000000 & TVHR & Time Value High Register\\ 0x44& 0x00000000 & TVHR & Time Value High Register\\
0x48& 0x00000000 & TBMR & Tag Buffer Meta Register\\ 0x48& 0x00000000 & TBMR & Tag Buffer Meta Register\\
...@@ -164,9 +164,9 @@ Eg: SW1.1-- SR.SWITCHES[0] \\ SW1.2-- SR.SWITCHES[1] \\ ...@@ -164,9 +164,9 @@ Eg: SW1.1-- SR.SWITCHES[0] \\ SW1.2-- SR.SWITCHES[1] \\
\item \begin{small} \item \begin{small}
{\bf {\bf
RTM RTM
} [\emph{read-only}]: RTM detection lines \cite{rtm-det} } [\emph{read-only}]: RTM detection lines cite{rtm-det}
\\ \\
Signals RTM presence and type of RTM board. See~\cite{rtm-det} for interpretation \\ 1 -- line active \\ 0 -- line inactive 1 bit per RTM output channel \\ 1 -- line active \\ 0 -- line inactive
\end{small} \end{small}
\item \begin{small} \item \begin{small}
{\bf {\bf
...@@ -276,7 +276,7 @@ RST\_UNLOCK ...@@ -276,7 +276,7 @@ RST\_UNLOCK
\item \begin{small} \item \begin{small}
{\bf {\bf
RST RST
} [\emph{read/write}]: Reset bit - active only if RST-UNLOCK is 1 } [\emph{read/write}]: Reset bit - active only if RST\_UNLOCK is 1
\\ \\
1 -- initiate logic reset \\ 0 -- no reset 1 -- initiate logic reset \\ 0 -- no reset
\end{small} \end{small}
...@@ -292,27 +292,27 @@ Write the following sequence to trigger a pulse: \\ 0xde -- ...@@ -292,27 +292,27 @@ Write the following sequence to trigger a pulse: \\ 0xde --
\end{small} \end{small}
\end{itemize} \end{itemize}
\subsubsection{CH1TTLPCR - Channel 1 Pulse Counter Register for TTL pulses} \subsubsection{CH1FPPCR - Channel 1 Pulse Counter Register for TTL pulses}
\label{app:conv-regs-CH1TTLPCR} \label{app:conv-regs-CH1FPPCR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1TTLPCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH1FPPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1TTLPCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH1FPPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1TTLPCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH1FPPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1TTLPCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH1FPPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -320,14 +320,14 @@ Write the following sequence to trigger a pulse: \\ 0xde -- ...@@ -320,14 +320,14 @@ Write the following sequence to trigger a pulse: \\ 0xde --
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH1TTLPCR CH1FPPCR
} [\emph{read/write}]: TTL pulse counter value } [\emph{read/write}]: TTL pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\subsubsection{CH2TTLPCR - Channel 2 Pulse Counter Register for TTL pulses} \subsubsection{CH2FPPCR - Channel 2 Pulse Counter Register for TTL pulses}
\label{app:conv-regs-CH2TTLPCR} \label{app:conv-regs-CH2FPPCR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -335,19 +335,19 @@ CH1TTLPCR ...@@ -335,19 +335,19 @@ CH1TTLPCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2TTLPCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2FPPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2TTLPCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2FPPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2TTLPCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2FPPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2TTLPCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2FPPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -355,31 +355,31 @@ CH1TTLPCR ...@@ -355,31 +355,31 @@ CH1TTLPCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH2TTLPCR CH2FPPCR
} [\emph{read/write}]: TTL pulse counter value } [\emph{read/write}]: TTL pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\subsubsection{CH3TTLPCR - Channel 3 Pulse Counter Register for TTL pulses} \subsubsection{CH3FPPCR - Channel 3 Pulse Counter Register for TTL pulses}
\label{app:conv-regs-CH3TTLPCR} \label{app:conv-regs-CH3FPPCR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3TTLPCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH3FPPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3TTLPCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH3FPPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3TTLPCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH3FPPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3TTLPCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH3FPPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -387,14 +387,14 @@ CH2TTLPCR ...@@ -387,14 +387,14 @@ CH2TTLPCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH3TTLPCR CH3FPPCR
} [\emph{read/write}]: TTL pulse counter value } [\emph{read/write}]: TTL pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\subsubsection{CH4TTLPCR - Channel 4 Pulse Counter Register for TTL pulses} \subsubsection{CH4FPPCR - Channel 4 Pulse Counter Register for TTL pulses}
\label{app:conv-regs-CH4TTLPCR} \label{app:conv-regs-CH4FPPCR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -402,19 +402,19 @@ CH3TTLPCR ...@@ -402,19 +402,19 @@ CH3TTLPCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4TTLPCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4FPPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4TTLPCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4FPPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4TTLPCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4FPPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4TTLPCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4FPPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -422,12 +422,12 @@ CH3TTLPCR ...@@ -422,12 +422,12 @@ CH3TTLPCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH4TTLPCR CH4FPPCR
} [\emph{read/write}]: TTL pulse counter value } [\emph{read/write}]: TTL pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\subsubsection{CH5TTLPCR - Channel 5 Pulse Counter Register for TTL pulses} \subsubsection{CH5FPPCR - Channel 5 Pulse Counter Register for TTL pulses}
\label{app:conv-regs-CH5TTLPCR} \label{app:conv-regs-CH5FPPCR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -435,19 +435,19 @@ CH4TTLPCR ...@@ -435,19 +435,19 @@ CH4TTLPCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5TTLPCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH5FPPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5TTLPCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH5FPPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5TTLPCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH5FPPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5TTLPCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH5FPPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -455,12 +455,12 @@ CH4TTLPCR ...@@ -455,12 +455,12 @@ CH4TTLPCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH5TTLPCR CH5FPPCR
} [\emph{read/write}]: TTL pulse counter value } [\emph{read/write}]: TTL pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\subsubsection{CH6TTLPCR - Channel 6 Pulse Counter Register for TTL pulses} \subsubsection{CH6FPPCR - Channel 6 Pulse Counter Register for TTL pulses}
\label{app:conv-regs-CH6TTLPCR} \label{app:conv-regs-CH6FPPCR}
\vspace{11pt} \vspace{11pt}
...@@ -469,19 +469,19 @@ CH5TTLPCR ...@@ -469,19 +469,19 @@ CH5TTLPCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6TTLPCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH6FPPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6TTLPCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH6FPPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6TTLPCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH6FPPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6TTLPCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH6FPPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -489,31 +489,31 @@ CH5TTLPCR ...@@ -489,31 +489,31 @@ CH5TTLPCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH6TTLPCR CH6FPPCR
} [\emph{read/write}]: TTL pulse counter value } [\emph{read/write}]: TTL pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\subsubsection{CH1BLOPCR - Channel 1 Pulse Counter Register for BLO pulses} \subsubsection{CH1RPPCR - Channel 1 Pulse Counter Register for BLO pulses}
\label{app:conv-regs-CH1BLOPCR} \label{app:conv-regs-CH1RPPCR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
\resizebox{\textwidth}{!}{ \resizebox{\textwidth}{!}{
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1BLOPCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH1RPPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1BLOPCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH1RPPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1BLOPCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH1RPPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH1BLOPCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH1RPPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -521,12 +521,12 @@ CH6TTLPCR ...@@ -521,12 +521,12 @@ CH6TTLPCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH1BLOPCR CH1RPPCR
} [\emph{read/write}]: BLO pulse counter value } [\emph{read/write}]: BLO pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\subsubsection{CH2BLOPCR - Channel 2 Pulse Counter Register for BLO pulses} \subsubsection{CH2RPPCR - Channel 2 Pulse Counter Register for BLO pulses}
\label{app:conv-regs-CH2BLOPCR} \label{app:conv-regs-CH2RPPCR}
\vspace{11pt} \vspace{11pt}
...@@ -535,19 +535,19 @@ CH1BLOPCR ...@@ -535,19 +535,19 @@ CH1BLOPCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2BLOPCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2RPPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2BLOPCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2RPPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2BLOPCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2RPPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH2BLOPCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH2RPPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -555,12 +555,12 @@ CH1BLOPCR ...@@ -555,12 +555,12 @@ CH1BLOPCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH2BLOPCR CH2RPPCR
} [\emph{read/write}]: BLO pulse counter value } [\emph{read/write}]: BLO pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\subsubsection{CH3BLOPCR - Channel 3 Pulse Counter Register for BLO pulses} \subsubsection{CH3RPPCR - Channel 3 Pulse Counter Register for BLO pulses}
\label{app:conv-regs-CH3BLOPCR} \label{app:conv-regs-CH3RPPCR}
\vspace{11pt} \vspace{11pt}
...@@ -569,19 +569,19 @@ CH2BLOPCR ...@@ -569,19 +569,19 @@ CH2BLOPCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3BLOPCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH3RPPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3BLOPCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH3RPPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3BLOPCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH3RPPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH3BLOPCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH3RPPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -589,12 +589,12 @@ CH2BLOPCR ...@@ -589,12 +589,12 @@ CH2BLOPCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH3BLOPCR CH3RPPCR
} [\emph{read/write}]: BLO pulse counter value } [\emph{read/write}]: BLO pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\subsubsection{CH4BLOPCR - Channel 4 Pulse Counter Register for BLO pulses} \subsubsection{CH4RPPCR - Channel 4 Pulse Counter Register for BLO pulses}
\label{app:conv-regs-CH4BLOPCR} \label{app:conv-regs-CH4RPPCR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -602,19 +602,19 @@ CH3BLOPCR ...@@ -602,19 +602,19 @@ CH3BLOPCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4BLOPCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4RPPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4BLOPCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4RPPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4BLOPCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4RPPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH4BLOPCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH4RPPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -622,12 +622,12 @@ CH3BLOPCR ...@@ -622,12 +622,12 @@ CH3BLOPCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH4BLOPCR CH4RPPCR
} [\emph{read/write}]: BLO pulse counter value } [\emph{read/write}]: BLO pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\subsubsection{CH5BLOPCR - Channel 5 Pulse Counter Register for BLO pulses} \subsubsection{CH5RPPCR - Channel 5 Pulse Counter Register for BLO pulses}
\label{app:conv-regs-CH5BLOPCR} \label{app:conv-regs-CH5RPPCR}
\vspace{11pt} \vspace{11pt}
\noindent \noindent
...@@ -635,19 +635,19 @@ CH4BLOPCR ...@@ -635,19 +635,19 @@ CH4BLOPCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5BLOPCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH5RPPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5BLOPCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH5RPPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5BLOPCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH5RPPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH5BLOPCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH5RPPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -655,12 +655,12 @@ CH4BLOPCR ...@@ -655,12 +655,12 @@ CH4BLOPCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH5BLOPCR CH5RPPCR
} [\emph{read/write}]: BLO pulse counter value } [\emph{read/write}]: BLO pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
\subsubsection{CH6BLOPCR - Channel 6 Pulse Counter Register for BLO pulses} \subsubsection{CH6RPPCR - Channel 6 Pulse Counter Register for BLO pulses}
\label{app:conv-regs-CH6BLOPCR} \label{app:conv-regs-CH6RPPCR}
\vspace{11pt} \vspace{11pt}
...@@ -669,19 +669,19 @@ CH5BLOPCR ...@@ -669,19 +669,19 @@ CH5BLOPCR
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} } \begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\ 31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6BLOPCR[31:24]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH6RPPCR[31:24]}\\
\hline \hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\ 23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6BLOPCR[23:16]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH6RPPCR[23:16]}\\
\hline \hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\ 15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6BLOPCR[15:8]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH6RPPCR[15:8]}\\
\hline \hline
7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\ 7 & 6 & 5 & 4 & 3 & 2 & 1 & 0\\
\hline \hline
\multicolumn{8}{|c|}{\cellcolor{gray!25}CH6BLOPCR[7:0]}\\ \multicolumn{8}{|c|}{\cellcolor{gray!25}CH6RPPCR[7:0]}\\
\hline \hline
\end{tabular} \end{tabular}
} }
...@@ -689,7 +689,7 @@ CH5BLOPCR ...@@ -689,7 +689,7 @@ CH5BLOPCR
\begin{itemize} \begin{itemize}
\item \begin{small} \item \begin{small}
{\bf {\bf
CH6BLOPCR CH6RPPCR
} [\emph{read/write}]: BLO pulse counter value } [\emph{read/write}]: BLO pulse counter value
\end{small} \end{small}
\end{itemize} \end{itemize}
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment