Commit fc3445d6 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

Adds the words golden and release to golden and release files respectively

parent 683170d5
This diff is collapsed.
...@@ -5,7 +5,8 @@ ...@@ -5,7 +5,8 @@
--============================================================================== --==============================================================================
-- --
-- description: -- description: GOLDEN FIRMWARE
--
-- This is the top-level file for the CONV-TTL-BLO board. It instantiates all -- This is the top-level file for the CONV-TTL-BLO board. It instantiates all
-- components needed in the design and generates the necessary logic for -- components needed in the design and generates the necessary logic for
-- pulse conversion to occur on each channel. -- pulse conversion to occur on each channel.
...@@ -222,8 +223,6 @@ architecture arch of conv_ttl_blo is ...@@ -222,8 +223,6 @@ architecture arch of conv_ttl_blo is
signal led_rear : std_logic_vector(c_nr_chans-1 downto 0); signal led_rear : std_logic_vector(c_nr_chans-1 downto 0);
-- I2C LEDs -- I2C LEDs
signal led_i2c : std_logic; signal led_i2c : std_logic;
-- System error LED -- System error LED
...@@ -321,21 +320,19 @@ begin ...@@ -321,21 +320,19 @@ begin
-- Switch inputs for reflection in status register -- Switch inputs for reflection in status register
sw_gp <= not sw_gp_n_i; sw_gp <= not sw_gp_n_i;
-- Burst mode functionality is enabled for versions 4 and above -- Burst mode functionality is enabled for versions 4 and above
-- when version is below 4 then disable burst functionality -- when version is below 4 then disable burst functionality
burst_en_n <= '0' when pcbrev_i (5 downto 0) >= "010000" else '1'; burst_en_n <= '0' when pcbrev_i (5 downto 0) >= "010000" else '1';
--******************************************************************************* --*******************************************************************************
--******************************************************************************* --*******************************************************************************
-- This change code is only used as a hack for v3 boards, which are physically -- This change code is only used as a hack for v3 boards, which are physically
-- able to support v4 functionality, but do not have built-in pcb version support -- able to support v4 functionality, but do not have built-in pcb version support
-- burst_en_n <= '0' when sw_gp_n_i(6)= '0' -- burst_en_n <= '0' when sw_gp_n_i(6)= '0'
-- else '1'; -- else '1';
--******************************************************************************* --*******************************************************************************
--******************************************************************************* --*******************************************************************************
--============================================================================ --============================================================================
-- Instantiate common generic gateware for converter boards -- Instantiate common generic gateware for converter boards
...@@ -343,8 +340,6 @@ begin ...@@ -343,8 +340,6 @@ begin
cmp_conv_common : conv_common_gw cmp_conv_common : conv_common_gw
generic map generic map
( (
g_nr_chans => 6, g_nr_chans => 6,
g_nr_inv_chans => 4, g_nr_inv_chans => 4,
g_board_id => c_board_id, g_board_id => c_board_id,
......
...@@ -3,9 +3,8 @@ ...@@ -3,9 +3,8 @@
-- Top level entity of CONV-TTL-BLO -- Top level entity of CONV-TTL-BLO
-- http://www.ohwr.org/projects/conv-ttl-blo -- http://www.ohwr.org/projects/conv-ttl-blo
--============================================================================== --==============================================================================
-- description: RELEASE FIRMWARE
-- --
-- description:
-- This is the top-level file for the CONV-TTL-BLO board. It instantiates all -- This is the top-level file for the CONV-TTL-BLO board. It instantiates all
-- components needed in the design and generates the necessary logic for -- components needed in the design and generates the necessary logic for
-- pulse conversion to occur on each channel. -- pulse conversion to occur on each channel.
...@@ -222,8 +221,6 @@ architecture arch of conv_ttl_blo is ...@@ -222,8 +221,6 @@ architecture arch of conv_ttl_blo is
signal led_rear : std_logic_vector(c_nr_chans-1 downto 0); signal led_rear : std_logic_vector(c_nr_chans-1 downto 0);
-- I2C LEDs -- I2C LEDs
signal led_i2c : std_logic; signal led_i2c : std_logic;
-- System error LED -- System error LED
...@@ -252,7 +249,8 @@ begin ...@@ -252,7 +249,8 @@ begin
-- the input to the TTL side MUX and the OR gate. -- the input to the TTL side MUX and the OR gate.
-- --
-- The counter is disabled if the switch is set for TTL signals, to avoid -- The counter is disabled if the switch is set for TTL signals, to avoid
-- unnecessary power consumption by the counter. -- unnecessary power consumption by the counter
p_ttlbar_nosig : process(clk_20_i) p_ttlbar_nosig : process(clk_20_i)
begin begin
if rising_edge(clk_20_i) then if rising_edge(clk_20_i) then
...@@ -315,27 +313,24 @@ begin ...@@ -315,27 +313,24 @@ begin
-- Line inputs for reflection in status register -- Line inputs for reflection in status register
line_ttl <= not ttl_n_i; line_ttl <= not ttl_n_i;
line_invttl <= not inv_n_i; line_invttl <= not inv_n_i;
line_blo <= blo_i; line_blo <= blo_i;
-- Switch inputs for reflection in status register -- Switch inputs for reflection in status register
sw_gp <= not sw_gp_n_i; sw_gp <= not sw_gp_n_i;
-- Burst mode functionality is enabled for versions 4 and above -- Burst mode functionality is enabled for versions 4 and above
-- when version is below 4 then disable burst functionality -- when version is below 4 then disable burst functionality
burst_en_n <= '0' when pcbrev_i (5 downto 0) >= "010000" else '1'; burst_en_n <= '0' when pcbrev_i (5 downto 0) >= "010000" else '1';
--******************************************************************************* --*******************************************************************************
--******************************************************************************* --*******************************************************************************
-- This change code is only used as a hack for v3 boards, which are physically -- This change code is only used as a hack for v3 boards, which are physically
-- able to support v4 functionality, but do not have built-in pcb version support -- able to support v4 functionality, but do not have built-in pcb version support
-- burst_en_n <= '0' when sw_gp_n_i(6)= '0' -- burst_en_n <= '0' when sw_gp_n_i(6)= '0'
-- else '1'; -- else '1';
--******************************************************************************* --*******************************************************************************
--******************************************************************************* --*******************************************************************************
--============================================================================ --============================================================================
-- Instantiate common generic gateware for converter boards -- Instantiate common generic gateware for converter boards
...@@ -343,8 +338,6 @@ begin ...@@ -343,8 +338,6 @@ begin
cmp_conv_common : conv_common_gw cmp_conv_common : conv_common_gw
generic map generic map
( (
g_nr_chans => 6, g_nr_chans => 6,
g_nr_inv_chans => 4, g_nr_inv_chans => 4,
g_board_id => c_board_id, g_board_id => c_board_id,
...@@ -353,9 +346,9 @@ begin ...@@ -353,9 +346,9 @@ begin
g_pgen_pwidth_lg => 24, g_pgen_pwidth_lg => 24,
g_pgen_pwidth_sh => 5, g_pgen_pwidth_sh => 5,
g_pgen_pperiod_cont => 4800, g_pgen_pperiod_cont => 4800,
-- Minimum period supported for 1.2us pulse ~ max freq 104kHz -- Maximum period supported for 1.2us pulse ~ max freq 104kHz
g_pgen_pperiod_lg => 191, g_pgen_pperiod_lg => 191,
-- Minimum period supported for 250ns pulse ~ max freq 2MHz -- Maximum period supported for 250ns pulse ~ max freq 2MHz
g_pgen_pperiod_sh => 9, g_pgen_pperiod_sh => 9,
g_pgen_gf_len => 1, g_pgen_gf_len => 1,
g_temp_decre_step_lg => (0,0,0,0,0,0,0,0,2500,731,220,250,40,85,50,125), g_temp_decre_step_lg => (0,0,0,0,0,0,0,0,2500,731,220,250,40,85,50,125),
...@@ -388,14 +381,14 @@ begin ...@@ -388,14 +381,14 @@ begin
-- Burst mode enable signal. Mode disabled for all versions of board -- Burst mode enable signal. Mode disabled for all versions of board
burst_en_n_i => burst_en_n, burst_en_n_i => burst_en_n,
-- Pulse width selection, port low means 250ns, high means 1.2us. -- Pulse width selection, port low means 250ns, high means 1.2us.
-- Switch to determine short or long pulse mode. -- Switch to determine short or long pulse mode.
-- ON switch means SHORT 250ns pulse repetition with max frequency 2MHz -- ON switch means SHORT 250ns pulse repetition with max frequency 2MHz
-- OFF switch means LONG 1.2us pulse repetition with max freq ~104kHz -- OFF switch means LONG 1.2us pulse repetition with max freq ~104kHz
pulse_width_sel_n_i => sw_gp_n_i(1), pulse_width_sel_n_i => sw_gp_n_i(1),
-- Channel enable -- Channel enable
global_ch_oen_o => global_oen_o, global_ch_oen_o => global_oen_o,
pulse_front_oen_o => ttl_oen_o, pulse_front_oen_o => ttl_oen_o,
...@@ -418,7 +411,7 @@ begin ...@@ -418,7 +411,7 @@ begin
-- inverted channel leds -- inverted channel leds
led_inv_pulse_o => led_inv_pulse, led_inv_pulse_o => led_inv_pulse,
-- I2C LED signals -- conect to a bicolor LED of choice -- I2C LED signals -- connect to a bicolor LED of choice
-- led_i2c_o pulses four times on I2C transfer -- led_i2c_o pulses four times on I2C transfer
led_i2c_o => led_i2c, led_i2c_o => led_i2c,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment