Commit fbf25571 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

Update top file with new minor release number, with minor changes to alignment…

Update top file with new minor release number, with minor changes to alignment and port renaming. Added fascounter testbench and two svg figures to hdl guide
parent abb0a98b
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:xlink="http://www.w3.org/1999/xlink"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="1034.5782"
height="1146.8843"
id="svg2"
version="1.1"
inkscape:version="0.92.1 r15371"
sodipodi:docname="gw-diff (5).svg">
<defs
id="defs4" />
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="0.98994949"
inkscape:cx="948.7702"
inkscape:cy="287.24134"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
showguides="true"
inkscape:guide-bbox="true"
inkscape:window-width="1920"
inkscape:window-height="1138"
inkscape:window-x="-8"
inkscape:window-y="-8"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid2985"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
originx="-8.2118258"
originy="-298.79108"
spacingx="1"
spacingy="1" />
<sodipodi:guide
orientation="1,0"
position="-218.21182,821.20892"
id="guide3266"
inkscape:locked="false" />
</sodipodi:namedview>
<metadata
id="metadata7">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(-8.2118258,393.31317)">
<g
id="g4440"
transform="matrix(2.0208042,0,0,2.0208042,-7.9072452,-458.71081)">
<text
id="text3757"
y="252.48784"
x="83.613281"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
xml:space="preserve"><tspan
style="font-weight:bold;font-size:10px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end"
y="252.48784"
x="83.613281"
id="tspan3759"
sodipodi:role="line">v0.0</tspan><tspan
id="tspan3915"
style="font-weight:normal;font-size:8px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end"
y="263.16318"
x="83.613281"
sodipodi:role="line">deprecated due to</tspan><tspan
id="tspan3919"
style="font-weight:normal;font-size:8px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end"
y="273.16318"
x="83.613281"
sodipodi:role="line">missing glitch filter</tspan></text>
<a
xlink:href="http://www.ohwr.org/projects/conv-ttl-blo-gw/wiki/Golden-0-0"
id="a4270">
<g
id="g4189"
transform="translate(0,150)">
<rect
y="72.362183"
x="100"
height="75"
width="160"
id="rect2987"
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<text
id="text3761"
y="82.362183"
x="105"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
xml:space="preserve"><tspan
y="82.362183"
x="105"
id="tspan3763"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- 1.2 us pulses with f<tspan
id="tspan4055"
style="font-size:65.00091553%;font-family:sans-serif;baseline-shift:sub">max</tspan> = 4160 Hz</tspan><tspan
id="tspan3767"
y="92.362183"
x="105"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- board ID readout</tspan><tspan
id="tspan3769"
y="102.36218"
x="105"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- gateware version readout</tspan><tspan
id="tspan3771"
y="112.36218"
x="105"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- state of on-board switches</tspan><tspan
id="tspan3773"
y="122.36218"
x="105"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- RTM detection lines</tspan><tspan
id="tspan3775"
y="132.36218"
x="105"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- state of I2C watchdog timer</tspan><tspan
id="tspan3777"
y="142.36218"
x="105"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- remote reprogramming</tspan><tspan
id="tspan3765"
y="152.36218"
x="105"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif"> </tspan></text>
</g>
</a>
</g>
<text
id="text3785"
y="-352.89709"
x="355.84241"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
xml:space="preserve"><tspan
style="font-weight:bold;font-size:28.29125977px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle"
y="-352.89709"
x="355.84241"
id="tspan3787"
sodipodi:role="line">Golden</tspan><tspan
style="font-weight:normal;font-size:20px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle"
y="-325.09738"
x="355.84241"
sodipodi:role="line"
id="tspan3243">(limited diagnostics)</tspan></text>
<g
id="g4303"
transform="matrix(2.0208042,0,0,2.0208042,-7.9072452,-458.71081)">
<text
xml:space="preserve"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="83.40332"
y="338.50232"
id="text3781"><tspan
sodipodi:role="line"
id="tspan3783"
x="83.40332"
y="338.50232"
style="font-weight:bold;font-size:10px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end">v0.1</tspan></text>
<g
transform="translate(0,150)"
id="g4202">
<rect
y="147.36218"
x="100"
height="75"
width="160"
id="rect3779"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<text
id="text3789"
y="157.36218"
x="105"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
xml:space="preserve"><tspan
id="tspan3803"
y="157.36218"
x="105"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- implements glitch filter, missing in</tspan><tspan
y="167.36218"
x="105"
sodipodi:role="line"
id="tspan3834"
style="font-size:8px;line-height:1.25;font-family:sans-serif">v0.0</tspan><tspan
id="tspan3805"
y="177.36218"
x="105"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif"> </tspan></text>
</g>
</g>
<text
xml:space="preserve"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="160.26945"
y="376.89642"
id="text3863"><tspan
sodipodi:role="line"
id="tspan3865"
x="160.26945"
y="376.89642"
style="font-weight:bold;font-size:20.20804214px;line-height:1.25;font-family:sans-serif;text-align:end;text-anchor:end">v0.2</tspan></text>
<g
id="g4209"
transform="matrix(2.0208042,0,0,2.0208042,-7.9072452,-155.59018)">
<rect
y="222.36218"
x="100"
height="93.330193"
width="160"
id="rect3861"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<text
id="text3867"
y="232.36218"
x="105"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
xml:space="preserve"><tspan
y="232.36218"
x="105"
sodipodi:role="line"
id="tspan3871"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- uses conv-common-gw</tspan><tspan
id="tspan3877"
y="242.36218"
x="105"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- changes memory map</tspan><tspan
y="252.36218"
x="105"
sodipodi:role="line"
id="tspan4948"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- changes switch state and RTM</tspan><tspan
y="262.36218"
x="105"
sodipodi:role="line"
id="tspan4950"
style="font-size:8px;line-height:1.25;font-family:sans-serif">detection lines to active-high logic</tspan><tspan
id="tspan3879"
y="272.36218"
x="105"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- adds one SR.PMISSE bit per channel</tspan><tspan
y="282.36218"
x="105"
sodipodi:role="line"
id="tspan3241"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- adds line status register</tspan><tspan
id="tspan3881"
y="292.36218"
x="105"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- connects VME SYSRESET line to logic</tspan><tspan
y="302.36218"
x="105"
sodipodi:role="line"
id="tspan3264"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- lights ERR LED red to signal system</tspan><tspan
id="tspan3883"
y="312.36218"
x="105"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">error</tspan><tspan
id="tspan3873"
y="322.36218"
x="105"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif"> </tspan></text>
</g>
<g
id="g4747">
<text
xml:space="preserve"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="880"
y="-260.14008"
id="text3887"><tspan
id="tspan3921"
sodipodi:role="line"
x="880"
y="-260.14008"
style="font-weight:bold;font-size:20.20804214px;line-height:1.25;font-family:sans-serif">v1.0</tspan><tspan
id="tspan3925"
sodipodi:role="line"
x="880"
y="-238.56731"
style="font-weight:normal;font-size:16.16643333px;line-height:1.25;font-family:sans-serif">deprecated due to</tspan><tspan
id="tspan3927"
sodipodi:role="line"
x="880"
y="-218.35927"
style="font-weight:normal;font-size:16.16643333px;line-height:1.25;font-family:sans-serif">wrong I<tspan
id="tspan3931"
style="font-size:10.50832939px;font-family:sans-serif;baseline-shift:super">2</tspan>C watchdog</tspan><tspan
id="tspan3933"
sodipodi:role="line"
x="880"
y="-198.15121"
style="font-weight:normal;font-size:16.16643333px;line-height:1.25;font-family:sans-serif">timeout</tspan></text>
<g
transform="matrix(2.0208042,0,0,2.0208042,-5.409092,-453.86758)"
id="g4257">
<rect
y="72.362183"
x="260"
height="75"
width="160"
id="rect3885"
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<text
id="text3891"
y="82.362183"
x="265"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
xml:space="preserve"><tspan
y="82.362183"
x="265"
id="tspan3893"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- 1.2 us pulses f<tspan
style="font-size:65.00091553%;font-family:sans-serif;baseline-shift:sub"
id="tspan4061">max</tspan> = 166 kHz</tspan><tspan
id="tspan3895"
y="92.362183"
x="265"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- board ID readout</tspan><tspan
id="tspan3897"
y="102.36218"
x="265"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- gateware version readout</tspan><tspan
id="tspan3899"
y="112.36218"
x="265"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- state of on-board switches</tspan><tspan
id="tspan3901"
y="122.36218"
x="265"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- RTM detection lines</tspan><tspan
id="tspan3903"
y="132.36218"
x="265"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- state of I2C watchdog timer</tspan><tspan
id="tspan3905"
y="142.36218"
x="265"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- remote reprogramming</tspan><tspan
id="tspan3907"
y="152.36218"
x="265"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif"> </tspan></text>
</g>
</g>
<g
id="g4767">
<text
id="text3937"
y="-108.57975"
x="880"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
xml:space="preserve"><tspan
style="font-weight:bold;font-size:20.20804214px;line-height:1.25;font-family:sans-serif"
y="-108.57975"
x="880"
sodipodi:role="line"
id="tspan3939">v2.0</tspan><tspan
style="font-weight:normal;font-size:16.16643333px;line-height:1.25;font-family:sans-serif"
y="-87.006981"
x="880"
sodipodi:role="line"
id="tspan3941">deprecated due to</tspan><tspan
id="tspan3970"
style="font-weight:normal;font-size:16.16643333px;line-height:1.25;font-family:sans-serif"
y="-66.798935"
x="880"
sodipodi:role="line">improper pulse f<tspan
id="tspan4065"
style="font-size:10.50832939px;font-family:sans-serif;baseline-shift:sub">max</tspan></tspan></text>
<g
transform="matrix(2.0208042,0,0,2.0208042,-5.409092,-458.71081)"
id="g4247">
<rect
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect3935"
width="160"
height="75"
x="260"
y="147.36218" />
<text
xml:space="preserve"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="265"
y="157.36218"
id="text3949"><tspan
sodipodi:role="line"
x="265"
y="157.36218"
id="tspan3963"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- readout of unique board ID from</tspan><tspan
sodipodi:role="line"
x="265"
y="167.36218"
id="tspan3999"
style="font-size:8px;line-height:1.25;font-family:sans-serif">DS18B20 thermometer chip</tspan><tspan
sodipodi:role="line"
x="265"
y="177.36218"
id="tspan4001"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- input pulse counters</tspan><tspan
sodipodi:role="line"
x="265"
y="187.36218"
id="tspan4003"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- time-tagging of last 128 pulses</tspan><tspan
sodipodi:role="line"
x="265"
y="197.36218"
id="tspan4005"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- manual pulse triggering</tspan><tspan
sodipodi:role="line"
x="265"
y="207.36218"
id="tspan3965"
style="font-size:8px;line-height:1.25;font-family:sans-serif"> </tspan></text>
</g>
</g>
<g
id="g4783">
<text
xml:space="preserve"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="880"
y="32.876545"
id="text4009"><tspan
id="tspan4011"
sodipodi:role="line"
x="880"
y="32.876545"
style="font-weight:bold;font-size:20.20804214px;line-height:1.25;font-family:sans-serif">v2.1</tspan><tspan
id="tspan4013"
sodipodi:role="line"
x="880"
y="54.449314"
style="font-weight:normal;font-size:16.16643333px;line-height:1.25;font-family:sans-serif">deprecated due to</tspan><tspan
sodipodi:role="line"
x="880"
y="74.657356"
style="font-weight:normal;font-size:16.16643333px;line-height:1.25;font-family:sans-serif"
id="tspan4017">pulse generation</tspan><tspan
id="tspan4035"
sodipodi:role="line"
x="880"
y="94.865402"
style="font-weight:normal;font-size:16.16643333px;line-height:1.25;font-family:sans-serif">on startup, while in</tspan><tspan
id="tspan4037"
sodipodi:role="line"
x="880"
y="115.07344"
style="font-weight:normal;font-size:16.16643333px;line-height:1.25;font-family:sans-serif">TTL-BAR mode</tspan></text>
<g
transform="matrix(2.0208042,0,0,2.0208042,-5.513113,-458.71081)"
id="g4219">
<rect
y="222.36218"
x="260"
height="75"
width="160"
id="rect4007"
style="fill:#e5e5e5;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
<text
id="text4019"
y="232.36218"
x="265"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
xml:space="preserve"><tspan
y="232.36218"
x="265"
sodipodi:role="line"
id="tspan4053"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- safe value of pulse f<tspan
style="font-size:65.00091553%;font-family:sans-serif;baseline-shift:sub"
id="tspan4069">max</tspan> = 4160 Hz</tspan><tspan
y="242.36218"
x="265"
sodipodi:role="line"
id="tspan4071"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- adds system errors (common</tspan><tspan
y="252.36218"
x="265"
sodipodi:role="line"
id="tspan4073"
style="font-size:8px;line-height:1.25;font-family:sans-serif">PMISSE (pulse miss error) to all</tspan><tspan
y="262.36218"
x="265"
sodipodi:role="line"
id="tspan4081"
style="font-size:8px;line-height:1.25;font-family:sans-serif">channels and I2C errors lighting ERR</tspan><tspan
y="272.36218"
x="265"
sodipodi:role="line"
id="tspan4097"
style="font-size:8px;line-height:1.25;font-family:sans-serif">LED)</tspan><tspan
y="282.36218"
x="265"
sodipodi:role="line"
id="tspan4075"
style="font-size:8px;line-height:1.25;font-family:sans-serif"> </tspan><tspan
id="tspan4031"
y="292.36218"
x="265"
sodipodi:role="line"
style="font-size:8px;line-height:1.25;font-family:sans-serif"> </tspan></text>
</g>
<text
xml:space="preserve"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="882.02032"
y="578.63287"
id="text4009-8"><tspan
id="tspan4011-1"
sodipodi:role="line"
x="882.02032"
y="578.63287"
style="font-weight:bold;font-size:20.20804214px;line-height:1.25;font-family:sans-serif">v4</tspan><tspan
id="tspan4037-6"
sodipodi:role="line"
x="882.02032"
y="600.20563"
style="font-weight:normal;font-size:16.16643333px;line-height:1.25;font-family:sans-serif">Backwards </tspan><tspan
sodipodi:role="line"
x="882.02032"
y="620.4137"
style="font-weight:normal;font-size:16.16643333px;line-height:1.25;font-family:sans-serif"
id="tspan3971">compatible. </tspan><tspan
sodipodi:role="line"
x="882.02032"
y="640.6217"
style="font-weight:normal;font-size:16.16643333px;line-height:1.25;font-family:sans-serif"
id="tspan3973">Releases some </tspan><tspan
sodipodi:role="line"
x="882.02032"
y="660.82977"
style="font-weight:normal;font-size:16.16643333px;line-height:1.25;font-family:sans-serif"
id="tspan3975">features only for v4</tspan><tspan
sodipodi:role="line"
x="882.02032"
y="681.03778"
style="font-weight:normal;font-size:16.16643333px;line-height:1.25;font-family:sans-serif"
id="tspan3977">PCBs and later</tspan></text>
</g>
<g
id="g4802">
<text
id="text4103"
y="225.47917"
x="880"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
xml:space="preserve"><tspan
style="font-weight:bold;font-size:20.20804214px;line-height:1.25;font-family:sans-serif"
y="225.47917"
x="880"
sodipodi:role="line"
id="tspan4113">v2.2</tspan></text>
<g
transform="matrix(2.0208042,0,0,2.0208042,-5.513113,-458.71081)"
id="g4231">
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4101"
width="160"
height="75"
x="260"
y="297.36218" />
<text
xml:space="preserve"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="265"
y="307.36218"
id="text4115"><tspan
id="tspan4129"
sodipodi:role="line"
x="265"
y="307.36218"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- fixes pulse generation on error bug</tspan><tspan
sodipodi:role="line"
x="265"
y="317.36218"
id="tspan4131"
style="font-size:8px;line-height:1.25;font-family:sans-serif"> </tspan></text>
</g>
</g>
<text
id="text4171"
y="377.03949"
x="880"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
xml:space="preserve"><tspan
style="font-weight:bold;font-size:20.20804214px;line-height:1.25;font-family:sans-serif"
y="377.03949"
x="880"
sodipodi:role="line"
id="tspan4173">v3.0</tspan></text>
<g
id="g4237"
transform="matrix(2.0208042,0,0,2.0208042,-5.513113,-458.71081)">
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4169"
width="160"
height="93.330193"
x="260"
y="372.36218" />
<text
xml:space="preserve"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="265"
y="382.36218"
id="text4175"><tspan
id="tspan4177"
sodipodi:role="line"
x="265"
y="382.36218"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- uses conv-common-gw</tspan><tspan
id="tspan4181"
sodipodi:role="line"
x="265"
y="392.36218"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- changes memory map</tspan><tspan
sodipodi:role="line"
x="265"
y="402.36218"
id="tspan4944"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- changes switch state and RTM</tspan><tspan
sodipodi:role="line"
x="265"
y="412.36218"
id="tspan4946"
style="font-size:8px;line-height:1.25;font-family:sans-serif">detection lines to active-high logic</tspan><tspan
id="tspan4183"
sodipodi:role="line"
x="265"
y="422.36218"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- adds one SR.PMISSE bit per channel</tspan><tspan
id="tspan4185"
sodipodi:role="line"
x="265"
y="432.36218"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- adds line status register</tspan><tspan
id="tspan4187"
sodipodi:role="line"
x="265"
y="442.36218"
style="font-size:8px;line-height:1.25;font-family:sans-serif">- connects VME SYSRESET line to logic</tspan><tspan
sodipodi:role="line"
x="265"
y="452.36218"
id="tspan4179"
style="font-size:8px;line-height:1.25;font-family:sans-serif"> </tspan></text>
</g>
<path
style="fill:none;stroke:#000000;stroke-width:9.24577713;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="M 517.50185,-393.31317 V 752.36225"
id="path4479"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="681.56519"
y="-352.89709"
id="text3258"><tspan
sodipodi:role="line"
id="tspan3260"
x="681.56519"
y="-352.89709"
style="font-weight:bold;font-size:28.29125977px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle">Release</tspan><tspan
id="tspan3262"
sodipodi:role="line"
x="681.56519"
y="-325.09738"
style="font-weight:normal;font-size:20px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle">(full diagnostics)</tspan></text>
<g
id="g4237-1"
transform="matrix(2.0208042,0,0,2.0208042,-5.409092,-270.10879)">
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:1.19648886px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
id="rect4169-4"
width="160"
height="133.61015"
x="260"
y="372.36218" />
<text
xml:space="preserve"
style="font-style:normal;font-weight:normal;line-height:0%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
x="265"
y="382.36218"
id="text4175-5"><tspan
id="tspan4177-9"
sodipodi:role="line"
x="265"
y="385.81015"
style="font-size:8px;line-height:1.25;font-family:sans-serif" /><tspan
id="tspan4181-1"
sodipodi:role="line"
x="265"
y="389.66083"
style="font-size:8px;line-height:1.25;font-family:sans-serif">-Changes memory map</tspan><tspan
sodipodi:role="line"
x="265"
y="399.66083"
style="font-size:8px;line-height:1.25;font-family:sans-serif"
id="tspan3912">-Backward compatible.</tspan><tspan
sodipodi:role="line"
x="265"
y="409.66083"
style="font-size:8px;line-height:1.25;font-family:sans-serif"
id="tspan3918">-PCB hw version recognition.</tspan><tspan
sodipodi:role="line"
x="265"
y="419.66083"
style="font-size:8px;line-height:1.25;font-family:sans-serif"
id="tspan3920">-Thermometer ID and temperature </tspan><tspan
sodipodi:role="line"
x="265"
y="429.66083"
style="font-size:8px;line-height:1.25;font-family:sans-serif"
id="tspan3928">in 3 registers.</tspan><tspan
sodipodi:role="line"
x="265"
y="439.66083"
style="font-size:8px;line-height:1.25;font-family:sans-serif"
id="tspan3922">-Separate TTL and BLO pulse counters</tspan><tspan
sodipodi:role="line"
x="265"
y="449.66083"
style="font-size:8px;line-height:1.25;font-family:sans-serif"
id="tspan3924">-Controls new INV LEDs</tspan><tspan
sodipodi:role="line"
x="265"
y="455.81015"
style="font-size:8px;line-height:1.25;font-family:sans-serif"
id="tspan3926" /><tspan
sodipodi:role="line"
x="265"
y="455.81015"
style="font-size:8px;line-height:1.25;font-family:sans-serif"
id="tspan3910" /><tspan
sodipodi:role="line"
x="265"
y="459.66083"
id="tspan4179-0"
style="font-size:8px;line-height:1.25;font-family:sans-serif">-Burst mode for high frequency </tspan><tspan
sodipodi:role="line"
x="265"
y="469.66083"
style="font-size:8px;line-height:1.25;font-family:sans-serif"
id="tspan3914">bursts (hw v 4 onwards only)</tspan><tspan
sodipodi:role="line"
x="265"
y="479.66083"
style="font-size:8px;line-height:1.25;font-family:sans-serif"
id="tspan3900">-Selectable pulse width (short or long</tspan><tspan
sodipodi:role="line"
x="265"
y="489.66083"
style="font-size:8px;line-height:1.25;font-family:sans-serif"
id="tspan3916">on hw v4 onwards)</tspan><tspan
sodipodi:role="line"
x="265"
y="495.81015"
style="font-size:8px;line-height:1.25;font-family:sans-serif"
id="tspan3904" /></text>
</g>
<flowRoot
xml:space="preserve"
id="flowRoot3872"
style="font-style:normal;font-weight:normal;font-size:40px;line-height:1.25;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none"
transform="translate(7.7460936,-397.35478)"><flowRegion
id="flowRegion3874"><rect
id="rect3876"
width="1240"
height="2100"
x="-147.74609"
y="-900.28296" /></flowRegion><flowPara
id="flowPara3878"></flowPara></flowRoot> </g>
</svg>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<!-- Created with Inkscape (http://www.inkscape.org/) -->
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="333.87131"
height="162"
id="svg4040"
version="1.1"
inkscape:version="0.48.3.1 r9886"
sodipodi:docname="ttl-inp.svg">
<defs
id="defs4042">
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM"
style="overflow:visible">
<path
id="path3992"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="marker4023"
style="overflow:visible">
<path
id="path4025"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<marker
inkscape:stockid="TriangleOutM"
orient="auto"
refY="0"
refX="0"
id="TriangleOutM-9"
style="overflow:visible">
<path
id="path4441"
d="m 5.77,0 -8.65,5 0,-10 8.65,5 z"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt"
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8"
inkscape:cx="173.80138"
inkscape:cy="23.941443"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
inkscape:window-width="1855"
inkscape:window-height="1176"
inkscape:window-x="65"
inkscape:window-y="24"
inkscape:window-maximized="1"
fit-margin-top="0"
fit-margin-left="0"
fit-margin-right="0"
fit-margin-bottom="0">
<inkscape:grid
type="xygrid"
id="grid4556"
empspacing="5"
visible="true"
enabled="true"
snapvisiblegridlinesonly="true"
originx="57.818153px"
originy="-859px" />
</sodipodi:namedview>
<metadata
id="metadata4045">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1"
transform="translate(57.818153,-31.362183)">
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 80,77.362183 108,0 0,10"
id="path5040"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<rect
y="87.362183"
x="85"
height="75"
width="150"
id="rect3779"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:0"
rx="5"
ry="5" />
<text
sodipodi:linespacing="125%"
id="text3769"
y="96.362183"
x="110"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;text-align:center;text-anchor:middle"
y="96.362183"
x="110"
sodipodi:role="line"
id="tspan4961"><tspan
style="font-size:6px;font-weight:bold;text-align:center;text-anchor:middle"
id="tspan4965">6x</tspan> input logic</tspan></text>
<path
style="opacity:0.3;fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 250,167.36218 c 5.16063,6.88084 5.16063,10.32125 0,17.20209 12.04146,0 20.64251,0 25.80315,-8.60104 -5.16064,-8.60105 -13.76169,-8.60105 -25.80315,-8.60105 z"
id="path3936"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 235,132.36218 5.80315,0 0,38.60105 5,0"
id="path5544"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 20,180.36218 225.80315,0.60105"
id="path5546"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<rect
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.30957046;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect2987"
width="4.3876133"
height="10.969033"
x="1.5074749"
y="134.68382" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 25.639338,123.71483 -38.391608,-3e-5"
id="path3767"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 3.701275,123.7148 0,10.96902"
id="path3769"
inkscape:connector-curvature="0" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 3.701275,145.65287 0,8.77521"
id="path3771"
inkscape:connector-curvature="0" />
<g
transform="matrix(0.61914096,0,0,0.61914096,13.573413,49.125415)"
id="g3783">
<path
inkscape:connector-curvature="0"
id="path3785"
d="m 141.73228,113.3858 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
transform="matrix(0.24999996,0,0,0.24999996,121.67006,91.240144)"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
sodipodi:ry="7.0866141"
sodipodi:rx="7.0866141"
sodipodi:cy="116.92912"
sodipodi:cx="159.44882"
id="path3787"
style="fill:none;stroke:#000000;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.30957049;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 184.04296,118.23031 0,28.51949 8.77523,-4.38762 0,-19.74425 -8.77523,-4.38762"
id="path3795"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:24.76563835px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="186.23677"
y="142.36218"
id="text3890"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3892"
x="186.23677"
y="142.36218"
style="font-size:6.19140959px">0</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3894"
y="127.00555"
x="186.23677"
style="font-size:24.76563835px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6.19140959px"
y="127.00555"
x="186.23677"
id="tspan3896"
sodipodi:role="line">1</tspan></text>
<rect
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect4288"
width="2.9879105"
height="7.4697757"
x="62.132988"
y="66.157524" />
<path
inkscape:connector-curvature="0"
id="path4290"
d="m 63.634008,66.111986 0,-6.677264"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" />
<path
inkscape:connector-curvature="0"
id="path5106"
d="m 63.625728,81.097071 0,-7.449403 0.0013,7.449403"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path5108"
d="m 60.639029,81.844048 2.987913,3.734888 0,3.734888"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 62.132985,89.313824 2.987909,0"
id="path5110"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path5112"
d="m 63.626942,77.362183 16.373058,0"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="cc" />
<path
transform="matrix(0.42162733,0,0,0.42162733,17.255002,84.410317)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path5114"
style="opacity:0.3;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
inkscape:connector-curvature="0"
id="path3914"
d="m 35,112.36218 10,0"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
sodipodi:nodetypes="cc" />
<path
inkscape:connector-curvature="0"
id="path3916"
d="m 50,117.33122 5,0 0,-4.96904 5.484521,0 0,4.96904 9.515479,0"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cccccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 80,123.71481 21.32567,0"
id="path4051"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 91.453551,123.71481 0,17.55046 92.546449,2e-5"
id="path5191"
inkscape:connector-curvature="0"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 114.4885,123.71481 69.5115,2e-5"
id="path4053"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 38.80218,123.71481 41.19782,0"
id="path4057"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:6.19140959px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
x="-20"
y="97.362183"
id="text4203"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="-20"
y="97.362183"
style="font-style:normal;text-align:center;text-anchor:middle;fill:#b2b2b2;fill-opacity:1"
id="tspan5221">No sig.</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4209"
y="97.362183"
x="5.8950787"
style="font-size:6.19140959px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
y="97.362183"
x="5.8950791"
sodipodi:role="line"
id="tspan4217">Signal</tspan></text>
<path
transform="matrix(0.61914096,0,0,0.61914096,23.35841,134.06468)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
sodipodi:cy="-16.716501"
sodipodi:cx="109.98324"
id="path5193"
style="fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
sodipodi:type="arc" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 1.507473,154.42808 4.387618,0"
id="path3773"
inkscape:connector-curvature="0" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.30957049;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 25.63934,119.32726 0,10.96899 10.96899,-6.58139 -10.96899,-6.58139 z"
id="path3757"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:2.00000858;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3759"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
transform="matrix(0.15478457,0,0,0.15478457,13.21805,105.61604)" />
<g
id="g3611"
transform="matrix(0.21125073,0,0,0.21125073,24.424795,78.429017)"
style="opacity:0.3;stroke:#000000;stroke-width:1.46541727;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none">
<path
transform="translate(-15.675442,33.433089)"
inkscape:connector-curvature="0"
id="path3607"
d="m 29.848669,186.25198 10.629922,0 3.543307,-10.62992 7.086614,0"
style="fill:none;stroke:#000000;stroke-width:1.46541727;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="fill:none;stroke:#000000;stroke-width:1.46541727;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 35.43307,209.05515 -10.629922,0 -3.543307,10.62992 -7.086614,0"
id="path3609"
inkscape:connector-curvature="0" />
</g>
<path
sodipodi:type="arc"
style="opacity:0.3;fill:#000000;fill-opacity:1;fill-rule:nonzero;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="path3126"
sodipodi:cx="109.98324"
sodipodi:cy="-16.716501"
sodipodi:rx="1.7716535"
sodipodi:ry="1.7716535"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
transform="matrix(0.61914096,0,0,0.61914096,-64.393851,134.06468)" />
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;marker-start:none"
d="M 80,32.362183 80,192.36218"
id="path4728"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
transform="matrix(2,0,0,2,54.78516,8.8041611)"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
sodipodi:ry="1"
sodipodi:rx="1"
sodipodi:cy="57.279011"
sodipodi:cx="12.60742"
id="path5617"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:type="arc" />
<text
xml:space="preserve"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="85"
y="47.362183"
id="text4947"
sodipodi:linespacing="125%"><tspan
id="tspan4949"
sodipodi:role="line"
x="85"
y="47.362183"
style="font-size:14px;font-weight:bold;text-align:start;text-anchor:start">FPGA</tspan></text>
<text
sodipodi:linespacing="125%"
id="text4951"
y="47.362183"
x="75"
style="font-size:40px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:14px;font-weight:bold;text-align:end;text-anchor:end;opacity:0.3"
y="47.362183"
x="75"
sodipodi:role="line"
id="tspan4953">Board</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 192.94579,132.36575 42.05421,0"
id="path4955"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5020"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,54.78516,-37.195839)" />
<text
xml:space="preserve"
style="font-size:6.19140959px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="50"
y="79.362183"
id="text5024"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="50"
y="79.362183"
id="tspan5026"
style="font-style:normal;text-align:center;text-anchor:middle">TTL</tspan><tspan
id="tspan5028"
sodipodi:role="line"
x="50"
y="87.101448"
style="font-style:normal;text-align:center;text-anchor:middle">switch</tspan></text>
<g
id="g5030"
transform="matrix(0.61914096,0,0,0.61914096,32.24774,2.7727728)"
style="fill:#ffffff;fill-opacity:1;stroke:#b2b2b2;stroke-opacity:1">
<path
style="fill:#ffffff;fill-opacity:1;stroke:#b2b2b2;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 141.73228,113.3858 0,17.71654 17.71654,-10.62992 -17.71654,-10.62992 z"
id="path5032"
inkscape:connector-curvature="0" />
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#b2b2b2;stroke-width:2.00000024;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path5034"
sodipodi:cx="159.44882"
sodipodi:cy="116.92912"
sodipodi:rx="7.0866141"
sodipodi:ry="7.0866141"
d="m 166.53544,116.92912 c 0,3.91383 -3.17279,7.08662 -7.08662,7.08662 -3.91383,0 -7.08661,-3.17279 -7.08661,-7.08662 0,-3.91383 3.17278,-7.08661 7.08661,-7.08661 3.91383,0 7.08662,3.17278 7.08662,7.08661 z"
transform="matrix(0.24999996,0,0,0.24999996,121.67006,91.240144)" />
</g>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 188,87.362183 0,32.999997"
id="path5038"
inkscape:connector-curvature="0" />
<g
id="g5291"
transform="matrix(0.51698617,0,0,0.51698617,-5.0338357,71.117635)">
<g
id="g5201"
transform="translate(0,3.8685751)">
<rect
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
id="rect4171"
width="48.357166"
height="38.685757"
x="309.55148"
y="114.596" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="334.74847"
y="130.44977"
id="text4181"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="334.74847"
y="130.44977"
style="font-size:11.60572624px;text-align:center;text-anchor:middle"
id="tspan4201">No sig.</tspan><tspan
id="tspan5930"
sodipodi:role="line"
x="334.74847"
y="144.95692"
style="font-size:11.60572624px;text-align:center;text-anchor:middle">detect</tspan></text>
</g>
</g>
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 35,102.36218 10,0"
id="path5207"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cccccc"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 50,102.36218 5,0 0,4.96904 5.484521,0 0,-4.96904 9.515479,0"
id="path5209"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path5211"
d="m -20,107.33122 10,0"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
sodipodi:nodetypes="cc" />
<path
inkscape:connector-curvature="0"
id="path5213"
d="m -5,107.33122 5,0 0,-4.96904 5.484521,0 0,4.96904 9.515479,0"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cccccc" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m -20,117.36218 10,0"
id="path5215"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cccccc"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m -5,112.39314 5,0 0,4.96904 5.484521,0 0,-4.96904 9.515479,0"
id="path5217"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path5227"
d="m 115,117.36218 10,0"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
sodipodi:nodetypes="cc" />
<path
inkscape:connector-curvature="0"
id="path5229"
d="m 130,117.39314 5,0 0,-4.96904 5.48452,0 0,4.96904 9.51548,0"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cccccc" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 115,132.36218 10,0"
id="path5237"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cccccc"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 130,137.36218 5,0 0,-4.96904 5.48452,0 0,4.96904 9.51548,0"
id="path5239"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 195,127.36218 10,0"
id="path5241"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cccccc"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 210,127.39314 5,0 0,-4.96904 5.48452,0 0,4.96904 9.51548,0"
id="path5243"
inkscape:connector-curvature="0" />
<text
xml:space="preserve"
style="font-size:6.19140959px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="-45"
y="107.36218"
id="text3192"
sodipodi:linespacing="125%"><tspan
id="tspan3194"
sodipodi:role="line"
x="-45"
y="107.36218"
style="font-style:normal;text-align:center;text-anchor:middle">TTL</tspan></text>
<text
sodipodi:linespacing="125%"
id="text3196"
y="117.36218"
x="-45"
style="font-size:6.19140959px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
y="117.36218"
x="-45"
sodipodi:role="line"
id="tspan3198">TTL-BAR</tspan></text>
<path
sodipodi:type="arc"
style="fill:#ffffff;fill-opacity:1;stroke:#000000;stroke-width:0.25;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="path3076"
sodipodi:cx="12.60742"
sodipodi:cy="57.279011"
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,54.78516,65.804161)" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 195,177.36218 10,0"
id="path3078"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cccccc"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 210,177.36218 5,0 0,-4.96904 5.48452,0 0,4.96904 9.51548,0"
id="path3080"
inkscape:connector-curvature="0" />
<text
sodipodi:linespacing="125%"
id="text3082"
y="177.36218"
x="3.9999995"
style="font-size:6.19140959px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
y="177.36218"
x="4"
sodipodi:role="line"
id="tspan3086">Blocking</tspan><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
y="185.10144"
x="3.9999995"
sodipodi:role="line"
id="tspan3090">input</tspan></text>
</g>
</svg>
conv-common-gw @ 1fbe6c0a
Subproject commit 0bb46c7a72484bfd9ca81c4b23af9d17d04f03eb
Subproject commit 1fbe6c0a88be2a99efa363465e69cafed9f5c2ec
-- tester for fastevent counter.
library ieee;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
use ieee.numeric_std.all;
entity fastevent_tb is end;
architecture test of fastevent_tb is
-- Minimum pulse width.
-- This is the shortest pulse that can be detected by a flipflop
-- Need to get real figure from device/library data sheet; look for
-- the minimum clock pulse width. NOT the same as maximum clock frequency.
-- About a nanosecond is probably in the ballpark.
constant width : time := 1 ns;
signal trig,
enable : std_logic := '0';
signal reset : std_logic := '0';
signal clk : std_logic;
signal count : std_logic_vector(7 downto 0);
signal stop : boolean;
begin
fastevent_counter_i :
entity work.fastevent_counter
port map (sysclk => clk,
rstcount => reset,
enable => enable,
trig => trig,
count => count);
clkgen : process begin
while not stop loop
clk <= '0';
wait for 10 ns;
clk <= '1';
wait for 10 ns;
end loop;
wait;
end process;
-- process to generate some fast pulses for counter.
do_something : process
variable num : natural;
variable s1, s2: positive := 42;
impure function dist_uniform(min, max: integer) return integer is
variable r: real;
begin
uniform(s1, s2, r);
return min + integer(trunc(real(max+1-min) * r));
end;
procedure pulse (num : natural;
signal sig : out std_logic) is
variable gap : time;
begin
for I in 1 to num loop
sig <= '1';
wait for width;
sig <='0';
gap := 1 ns * dist_uniform(100, 200);
wait for gap;
end loop;
end procedure;
begin
wait for 10 ns;
enable <= '1';
wait until falling_edge(clk);
reset <= '1';
wait until falling_edge(clk);
reset <= '0';
wait until falling_edge(clk);
wait until rising_edge(clk);
num := dist_uniform(5, 10);
pulse(num, trig);
wait until falling_edge(clk);
assert (to_integer(unsigned(count)) = num) report "broken";
reset <= '1';
wait until falling_edge(clk);
reset <= '0';
wait until falling_edge(clk);
num := dist_uniform(5, 10);
pulse(num, trig);
wait until falling_edge(clk);
assert (to_integer(unsigned(count)) = num) report "broken";
pulse (1, trig);
wait until falling_edge(clk);
stop <= true;
wait;
end process;
end architecture;
\ No newline at end of file
......@@ -115,7 +115,7 @@
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="19"/>
<association xil_pn:name="Implementation" xil_pn:seqID="19"/>
</file>
<file xil_pn:name="../../ip_cores/conv-common-gw/ip_cores/general-cores/modules/genrams/generic/generic_shiftreg_fifo.vhd" xil_pn:type="FILE_VHDL">
<file xil_pn:name="../../ip_cores/conv-common-gw/ip_cores/general-cores/modules/genrams/common/generic_shiftreg_fifo.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
......@@ -123,11 +123,11 @@
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="../../ip_cores/conv-common-gw/ip_cores/general-cores/modules/genrams/generic/inferred_async_fifo.vhd" xil_pn:type="FILE_VHDL">
<file xil_pn:name="../../ip_cores/conv-common-gw/ip_cores/general-cores/modules/genrams/common/inferred_async_fifo.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="9"/>
<association xil_pn:name="Implementation" xil_pn:seqID="9"/>
</file>
<file xil_pn:name="../../ip_cores/conv-common-gw/ip_cores/general-cores/modules/genrams/generic/inferred_sync_fifo.vhd" xil_pn:type="FILE_VHDL">
<file xil_pn:name="../../ip_cores/conv-common-gw/ip_cores/general-cores/modules/genrams/common/inferred_sync_fifo.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
......@@ -513,7 +513,7 @@
</file>
<file xil_pn:name="../../ip_cores/conv-common-gw/modules/wf_decr_counter.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="22"/>
<association xil_pn:name="Implementation" xil_pn:seqID="22"/>
<association xil_pn:name="Implementation" xil_pn:seqID="21"/>
</file>
<file xil_pn:name="../../ip_cores/conv-common-gw/top/conv_common_gw.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="36"/>
......@@ -541,9 +541,9 @@
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="37"/>
<association xil_pn:name="Implementation" xil_pn:seqID="37"/>
</file>
<file xil_pn:name="../../modules/fastevent_counter.vhd" xil_pn:type="FILE_VHDL">
<file xil_pn:name="../../ip_cores/conv-common-gw/modules/fastevent_counter.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="21"/>
<association xil_pn:name="Implementation" xil_pn:seqID="21"/>
<association xil_pn:name="Implementation" xil_pn:seqID="22"/>
</file>
<file xil_pn:name="../../sim/Release/fastevent_counter_tb.vhd" xil_pn:type="FILE_VHDL"/>
<file xil_pn:name="chipscope_ila.vhd" xil_pn:type="FILE_VHDL">
......@@ -649,9 +649,9 @@
<property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
<property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
......@@ -692,7 +692,7 @@
<property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
......@@ -779,7 +779,7 @@
<property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="VHDL" xil_pn:valueState="non-default"/>
<property xil_pn:name="Process window" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Project Description" xil_pn:value="" xil_pn:valueState="default"/>
......@@ -821,8 +821,8 @@
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/testbench" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.testbench" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/conv_burst_ctrl" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.conv_burst_ctrl" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
......@@ -833,7 +833,7 @@
<property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Signal window" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Model Target" xil_pn:value="VHDL" xil_pn:valueState="non-default"/>
<property xil_pn:name="Simulation Model Target" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Resolution" xil_pn:value="Default (1 ps)" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
......@@ -845,7 +845,7 @@
<property xil_pn:name="Source window" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.testbench" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.conv_burst_ctrl" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
......
......@@ -177,7 +177,7 @@ architecture arch of conv_ttl_blo is
constant c_board_id : std_logic_vector(31 downto 0) := x"54424c4f";
-- Gateware version
constant c_gwvers : std_logic_vector(7 downto 0) := x"40";
constant c_gwvers : std_logic_vector(7 downto 0) := x"41";
--============================================================================
......@@ -415,8 +415,8 @@ begin
-- Front panel channels
pulse_i => pulse_in,
pulse_front_i => pulse_ttl,
pulse_rear_i => pulse_blo,
pulse_front_i => pulse_ttl,
pulse_rear_i => pulse_blo,
pulse_o => pulse_out,
-- Inverted pulse I/O
......@@ -467,7 +467,7 @@ begin
sfp_present_i => sfp_mod_def0_i,
sfp_rate_select_o => sfp_rate_select_o,
sfp_sda_b => sfp_mod_def1_b,
sfp_scl_i => sfp_mod_def2_b,
sfp_scl_b => sfp_mod_def2_b,
sfp_tx_disable_o => sfp_tx_disable_o,
sfp_tx_fault_i => sfp_tx_fault_i,
......@@ -475,8 +475,9 @@ begin
sw_gp_i => sw_gp,
sw_other_i => (others => '0'),
-- PCB Version information
-- PCB Version information
hwvers_i => pcbrev_i,
-- RTM lines
rtmm_i => rtmm_i,
rtmp_i => rtmp_i,
......@@ -508,7 +509,7 @@ begin
--============================================================================
-- Channel output logic
--============================================================================
-- TTL and RS-485 outputs
-- TTL and blocking outputs
ttl_o <= pulse_out when sw_ttl = '1' else
not pulse_out;
......@@ -517,6 +518,7 @@ begin
-----------------------------------------
-- LED outputs
led_front_n_o <= not led_pulse;
led_front_inv_n_o <= not led_inv_pulse;
led_rear_n_o <= not led_pulse;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment