Commit d80c5f82 authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

change to master branch

parent 440a2d50
......@@ -265,11 +265,8 @@ begin
-- !!!!!
-- led_front_n <= leds_from_trig or (not trigleds);
led_front_n(1) <= not s_master_i(c_slave_trigleds_wb).ack;
led_front_n(2) <= not s_master_i(c_slave_i2c_slave).ack;
led_front_n(6 downto 3) <= (others => '1');
led_front_n <= leds_from_trig or (not trigleds);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment