Commit b081f7d3 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

Work in progress: Minor mods in Release top file, plus created Golden folder…

Work in progress: Minor mods in Release top file, plus created Golden folder with dummy golden top file.
parent fbf25571
files = [
"conv_ttl_blo.ucf",
"conv_ttl_blo.vhd"
]
modules = {
"local" : [
"../../ip_cores/conv-common-gw"
]
}
This diff is collapsed.
This diff is collapsed.
...@@ -4,13 +4,7 @@ ...@@ -4,13 +4,7 @@
-- http://www.ohwr.org/projects/conv-ttl-blo -- http://www.ohwr.org/projects/conv-ttl-blo
--============================================================================== --==============================================================================
-- --
-- Carlos-Gil-Soriano
--
-- version: 1.0
-- description: -- description:
-- This is the top-level file for the CONV-TTL-BLO board. It instantiates all -- This is the top-level file for the CONV-TTL-BLO board. It instantiates all
-- components needed in the design and generates the necessary logic for -- components needed in the design and generates the necessary logic for
...@@ -21,11 +15,14 @@ ...@@ -21,11 +15,14 @@
-- --
-- dependencies: -- dependencies:
-- general-cores repository [1] -- general-cores repository [1]
-- conv-common-gw repository [2]
-- --
-- references: -- references:
-- [1] Platform-independent core collection on OHWR, -- [1] Platform-independent core collection on OHWR,
-- http://www.ohwr.org/projects/general-cores/repository -- http://www.ohwr.org/projects/general-cores/repository
-- [2] ELMA, Access to board data using SNMP and I2C -- [2] Converter common gateware
-- https://www.ohwr.org/projects/conv-common-gw/repository
-- [3] ELMA, Access to board data using SNMP and I2C
-- http://www.ohwr.org/documents/227 -- http://www.ohwr.org/documents/227
-- --
--============================================================================== --==============================================================================
...@@ -41,14 +38,6 @@ ...@@ -41,14 +38,6 @@
-- received a copy of the GNU Lesser General Public License along with this -- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html -- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--============================================================================== --==============================================================================
-- last changes:
-- 26-11-2013 Theodor Stana Changed file header
-- 05-08-2013 Denia Bouhired Moved processing of inv ttl signals to common gateware module
-- and added output ports for inv pulse LEDs
--==============================================================================
-- TODO: -
--==============================================================================
library ieee; library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;
...@@ -94,18 +83,18 @@ entity conv_ttl_blo is ...@@ -94,18 +83,18 @@ entity conv_ttl_blo is
blo_oen_o : out std_logic; blo_oen_o : out std_logic;
-- Front panel channels -- Front panel channels
ttl_n_i : in std_logic_vector(5 downto 0);-- ttl_n_i : in std_logic_vector(5 downto 0);
ttl_o : out std_logic_vector(5 downto 0);-- ttl_o : out std_logic_vector(5 downto 0);
inv_n_i : in std_logic_vector(3 downto 0); inv_n_i : in std_logic_vector(3 downto 0);
inv_o : out std_logic_vector(3 downto 0); inv_o : out std_logic_vector(3 downto 0);
-- Rear panel channels -- Rear panel channels
blo_i : in std_logic_vector(5 downto 0);-- blo_i : in std_logic_vector(5 downto 0);
blo_o : out std_logic_vector(5 downto 0);-- blo_o : out std_logic_vector(5 downto 0);
-- Channel leds -- Channel leds
led_front_n_o : out std_logic_vector(5 downto 0);-- led_front_n_o : out std_logic_vector(5 downto 0);
led_front_inv_n_o : out std_logic_vector(3 downto 0); led_front_inv_n_o : out std_logic_vector(3 downto 0);
led_rear_n_o : out std_logic_vector(5 downto 0); led_rear_n_o : out std_logic_vector(5 downto 0);
...@@ -299,7 +288,7 @@ begin ...@@ -299,7 +288,7 @@ begin
inhibit_first_pulse <= '1'; inhibit_first_pulse <= '1';
elsif (inhibit_first_pulse = '1') then elsif (inhibit_first_pulse = '1') then
inhibit_cnt <= inhibit_cnt + 1; inhibit_cnt <= inhibit_cnt + 1;
if (inhibit_cnt = 1999) then -- and and_reduce(ttl_n_i)='1') then if (inhibit_cnt = 1999) then
inhibit_first_pulse <= '0'; inhibit_first_pulse <= '0';
end if; end if;
end if; end if;
...@@ -334,19 +323,19 @@ begin ...@@ -334,19 +323,19 @@ begin
--Burst mode functionality is enabled for versions 4 and above -- Burst mode functionality is enabled for versions 4 and above
-- when version is below 4 then disable burst functionality -- when version is below 4 then disable burst functionality
burst_en_n <= '0' when pcbrev_i (5 downto 0) >= "010000" else '1'; burst_en_n <= '0' when pcbrev_i (5 downto 0) >= "010000" else '1';
--************************************************************************** --*******************************************************************************
--************************************************************************** --*******************************************************************************
--This change code is only used as a hack for v3 boards, which are physically able to -- This change code is only used as a hack for v3 boards, which are physically
-- support v4 functionality, but do not have built-in pcb version support -- able to support v4 functionality, but do not have built-in pcb version support
-- burst_en_n <= '0' when sw_gp_n_i(6)= '0' -- burst_en_n <= '0' when sw_gp_n_i(6)= '0'
-- else '1'; -- else '1';
--************************************************************************** --*******************************************************************************
--************************************************************************** --*******************************************************************************
--============================================================================ --============================================================================
-- Instantiate common generic gateware for converter boards -- Instantiate common generic gateware for converter boards
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment