Commit a91367c3 authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

Updated hdl guide

The following sections were updated:
- 3.1 TTL input logic -- added reflection of no signal detect state in LSR
- 3.2 First pulse inhibit -- added delay before enabling the line to conv-common-gw
- 3.3 Line input logic -- added reflection of no signal detect state in LSR
- 3.4 Switches -- made figure more compact
parent 2ae27b91
......@@ -1521,11 +1521,10 @@ WRTAG
\begin{tabular}{>{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} >{\centering\arraybackslash}p{1.5cm} }
31 & 30 & 29 & 28 & 27 & 26 & 25 & 24\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\
\hline
\multicolumn{1}{|c}{-} & - & - & - & - & - & - & \multicolumn{1}{c|}{-}\\\hline
23 & 22 & 21 & 20 & 19 & 18 & 17 & 16\\
\hline
\multicolumn{8}{|c|}{-} \\
\multicolumn{1}{|c}{-} & - & \multicolumn{6}{|c|}{\cellcolor{gray!25}FRONTFS[5:0]}\\
\hline
15 & 14 & 13 & 12 & 11 & 10 & 9 & 8\\
\hline
......@@ -1561,6 +1560,14 @@ REAR
Line state at board input\\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc.
\end{small}
\item \begin{small}
{\bf
FRONTFS
} [\emph{read-only}]: TTL-BAR no signal detect state
\\
High if no cable is plugged in while in TTL-BAR mode \\
Unused in TTL mode \\ Bit 0 -- channel 1\\ Bit 1 -- channel 2\\ etc.
\end{small}
\item \begin{small}
\textbf{Unimplemented bits}: write as '0', read undefined
\end{small}
\end{itemize}
......
This diff is collapsed.
......@@ -143,15 +143,6 @@
transform="scale(0.4,0.4)"
inkscape:connector-curvature="0" />
</marker>
<filter
inkscape:collect="always"
id="filter4039"
color-interpolation-filters="sRGB">
<feGaussianBlur
inkscape:collect="always"
stdDeviation="0.18"
id="feGaussianBlur4041" />
</filter>
</defs>
<sodipodi:namedview
id="base"
......@@ -160,9 +151,9 @@
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8"
inkscape:cx="155.04333"
inkscape:cy="94.050324"
inkscape:zoom="3.959798"
inkscape:cx="231.96433"
inkscape:cy="39.560281"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
......@@ -369,7 +360,7 @@
style="opacity:0.57999997999999997;fill:none;stroke:#000000;stroke-width:0.30957045999999999;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="opacity:0.5;fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 3;stroke-dashoffset:5.7;marker-end:url(#marker3133)"
d="m 50,252.36218 0,-20 210,0 0,20"
d="m 50,252.36218 0,-15 210,0 0,15"
id="path4178-3"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
......@@ -585,7 +576,7 @@
sodipodi:nodetypes="cccc"
inkscape:connector-curvature="0"
id="path3951"
d="m 50,322.36218 0,-20 210,0 0,20"
d="m 50,322.36218 0,-15 210,0 0,15"
style="opacity:0.5;fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 3;stroke-dashoffset:5.7;marker-end:url(#marker3133)" />
<path
transform="matrix(2,0,0,2,169.78516,217.80416)"
......@@ -635,5 +626,59 @@
x="279.73828"
id="tspan3895-3"
sodipodi:role="line">LSR.FRONT(INV)</tspan></text>
<rect
y="282.36218"
x="275"
height="9.9999971"
width="65"
id="rect3924"
style="fill:#ffffff;fill-opacity:1;stroke:#b2b2b2;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
x="284.18408"
y="289.24304"
id="text3926"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3928"
x="284.18408"
y="289.24304"
style="font-size:6px;font-weight:bold;fill:#b2b2b2;fill-opacity:1">LSR.FRONTFS</tspan></text>
<path
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path3930"
d="m 255,287.36218 10,0"
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3064)" />
<text
sodipodi:linespacing="125%"
id="text3934"
y="282.36218"
x="230"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle;fill:#000000;fill-opacity:1"
y="282.36218"
x="230"
id="tspan3936"
sodipodi:role="line">No sig. detect</tspan><tspan
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle;fill:#000000;fill-opacity:1"
y="289.86218"
x="230"
sodipodi:role="line"
id="tspan3938">in TTL-BAR</tspan><tspan
style="font-size:6px;font-weight:normal;text-align:center;text-anchor:middle;fill:#000000;fill-opacity:1"
y="297.36218"
x="230"
sodipodi:role="line"
id="tspan3940">mode</tspan></text>
<rect
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect3942"
width="44"
height="24"
x="208"
y="275.36218" />
</g>
</svg>
This diff is collapsed.
......@@ -9,8 +9,8 @@
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="226.56073"
height="107"
width="211.56073"
height="87"
id="svg4040"
version="1.1"
inkscape:version="0.48.3.1 r9886"
......@@ -138,8 +138,8 @@
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="3.959798"
inkscape:cx="168.42688"
inkscape:cy="0.18235611"
inkscape:cx="112.03868"
inkscape:cy="0.42477885"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
......@@ -162,7 +162,7 @@
enabled="true"
snapvisiblegridlinesonly="true"
originx="-123.43927px"
originy="-859px" />
originy="-879px" />
</sodipodi:namedview>
<metadata
id="metadata4045">
......@@ -187,35 +187,35 @@
width="2.9879105"
height="7.4697757"
x="177.133"
y="156.15752" />
y="141.15752" />
<path
inkscape:connector-curvature="0"
id="path4290"
d="m 178.63401,156.11199 0,-6.67727"
d="m 178.63401,141.11199 0,-6.67727"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)" />
<path
inkscape:connector-curvature="0"
id="path5106"
d="m 178.62573,171.09707 0,-7.4494 0.001,7.4494"
d="m 178.62573,156.09707 0,-7.4494 0.001,7.4494"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
inkscape:connector-curvature="0"
id="path5108"
d="m 175.63903,171.84405 2.98792,3.73489 0,3.73489"
d="m 175.63903,156.84405 2.98792,3.73489 0,3.73489"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 177.13299,179.31383 2.98791,0"
d="m 177.13299,164.31383 2.98791,0"
id="path5110"
inkscape:connector-curvature="0" />
<path
inkscape:connector-curvature="0"
id="path5112"
d="m 178.62695,167.36218 16.37305,0"
d="m 178.62695,152.36218 16.37305,0"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
sodipodi:nodetypes="cc" />
<path
transform="matrix(0.42162733,0,0,0.42162733,132.25501,174.41032)"
transform="matrix(0.42162733,0,0,0.42162733,132.25501,159.41032)"
d="m 111.75489,-16.716501 c 0,0.978457 -0.79319,1.771653 -1.77165,1.771653 -0.97846,0 -1.77166,-0.793196 -1.77166,-1.771653 0,-0.978457 0.7932,-1.771654 1.77166,-1.771654 0.97846,0 1.77165,0.793197 1.77165,1.771654 z"
sodipodi:ry="1.7716535"
sodipodi:rx="1.7716535"
......@@ -228,28 +228,28 @@
xml:space="preserve"
style="font-size:6.19140959px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="149"
y="156.36218"
y="141.36218"
id="text5024"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
x="149"
y="156.36218"
y="141.36218"
id="tspan5026"
style="font-style:normal;text-align:center;text-anchor:middle">General-purpose</tspan><tspan
id="tspan5028"
sodipodi:role="line"
x="149"
y="164.10144"
y="149.10144"
style="font-style:normal;text-align:center;text-anchor:middle">switches</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
d="m 215,167.36218 35,0"
d="m 215,152.36218 35,0"
id="path4051"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;marker-start:none"
d="m 195,87.362183 0,104.999997"
d="m 195,87.362183 0,84.999997"
id="path4728"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
......@@ -280,14 +280,14 @@
<rect
y="87.362183"
x="270"
height="105"
width="80"
height="85"
width="65"
id="rect4950"
style="opacity:0.3;fill:#e5e5e5;fill-opacity:1;stroke-width:0.56;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0" />
<path
inkscape:connector-curvature="0"
id="path4952"
d="m 270,87.362183 0,104.999997"
d="m 270,87.362183 0,84.999997"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
sodipodi:nodetypes="cc" />
<text
......@@ -312,35 +312,14 @@
sodipodi:role="line"
id="tspan4979"
style="font-weight:bold">gateware</tspan></text>
<g
id="g3909">
<rect
y="162.36218"
x="273"
height="13"
width="72"
id="rect3897"
style="fill:#ffffff;fill-opacity:1;stroke:#b2b2b2;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
x="274.93604"
y="172.50232"
id="text3893"
sodipodi:linespacing="125%"><tspan
sodipodi:role="line"
id="tspan3895"
x="274.93604"
y="172.50232">SR.SWITCHES</tspan></text>
</g>
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3133)"
d="m 255,167.36218 10,0"
d="m 255,152.36218 10,0"
id="path3918"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
<rect
y="152.36218"
y="137.36218"
x="215"
height="30"
width="35.000076"
......@@ -349,7 +328,7 @@
rx="5"
ry="5" />
<g
transform="matrix(0.61914096,0,0,0.61914096,137.89186,92.772793)"
transform="matrix(0.61914096,0,0,0.61914096,137.89186,77.772793)"
id="g3783"
style="fill:#ffffff;fill-opacity:1">
<path
......@@ -372,7 +351,7 @@
sodipodi:nodetypes="cc"
inkscape:connector-curvature="0"
id="path4570"
d="m 200,167.36218 10,0"
d="m 200,152.36218 10,0"
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#marker3133)" />
<path
sodipodi:type="arc"
......@@ -383,6 +362,25 @@
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,169.78516,52.804161)" />
transform="matrix(2,0,0,2,169.78516,37.804161)" />
<rect
y="147.36218"
x="275"
height="9.9999847"
width="52.830513"
id="rect3959"
style="fill:#ffffff;fill-opacity:1;stroke:#b2b2b2;stroke-width:0.5;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
<text
xml:space="preserve"
style="font-size:10px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#b2b2b2;fill-opacity:1;stroke:none;font-family:Sans"
x="278.18283"
y="154.54625"
id="text3961"
sodipodi:linespacing="125%"><tspan
style="font-size:6px;font-weight:bold;fill:#b2b2b2;fill-opacity:1"
sodipodi:role="line"
id="tspan3963"
x="278.18283"
y="154.54625">SR.SWITCHES</tspan></text>
</g>
</svg>
......@@ -10,7 +10,7 @@
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="333.87131"
height="192"
height="162"
id="svg4040"
version="1.1"
inkscape:version="0.48.3.1 r9886"
......@@ -67,9 +67,9 @@
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="3.959798"
inkscape:cx="148.8347"
inkscape:cy="24.617545"
inkscape:zoom="2.8"
inkscape:cx="173.80138"
inkscape:cy="23.941443"
inkscape:document-units="px"
inkscape:current-layer="layer1"
showgrid="true"
......@@ -90,7 +90,7 @@
enabled="true"
snapvisiblegridlinesonly="true"
originx="57.818153px"
originy="-829px" />
originy="-859px" />
</sodipodi:namedview>
<metadata
id="metadata4045">
......@@ -151,10 +151,10 @@
sodipodi:nodetypes="cccc" />
<path
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-end:url(#TriangleOutM)"
d="m 20,202.36218 220.80315,0 0,-21.39895 5,0"
d="m 20,180.36218 225.80315,0.60105"
id="path5546"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cccc" />
sodipodi:nodetypes="cc" />
<rect
style="opacity:0.3;fill:none;stroke:#000000;stroke-width:0.30957046;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
id="rect2987"
......@@ -387,7 +387,7 @@
transform="matrix(0.61914096,0,0,0.61914096,-64.393851,134.06468)" />
<path
style="fill:none;stroke:#000000;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;marker-start:none"
d="M 80,32.362183 80,222.36218"
d="M 80,32.362183 80,192.36218"
id="path4728"
inkscape:connector-curvature="0"
sodipodi:nodetypes="cc" />
......@@ -619,35 +619,35 @@
sodipodi:cy="57.279011"
sodipodi:rx="1"
sodipodi:ry="1"
d="m 13.60742,57.279011 a 1,1 0 1 1 -2,0 1,1 0 1 1 2,0 z"
transform="matrix(2,0,0,2,54.78516,87.804161)" />
d="m 13.60742,57.279011 c 0,0.552285 -0.447715,1 -1,1 -0.552285,0 -1,-0.447715 -1,-1 0,-0.552285 0.447715,-1 1,-1 0.552285,0 1,0.447715 1,1 z"
transform="matrix(2,0,0,2,54.78516,65.804161)" />
<path
sodipodi:nodetypes="cc"
style="fill:none;stroke:#b2b2b2;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:none"
d="m 195,197.36218 10,0"
d="m 195,177.36218 10,0"
id="path3078"
inkscape:connector-curvature="0" />
<path
sodipodi:nodetypes="cccccc"
style="fill:none;stroke:#000000;stroke-width:0.61914092px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 210,197.36218 5,0 0,-4.96904 5.48452,0 0,4.96904 9.51548,0"
d="m 210,177.36218 5,0 0,-4.96904 5.48452,0 0,4.96904 9.51548,0"
id="path3080"
inkscape:connector-curvature="0" />
<text
sodipodi:linespacing="125%"
id="text3082"
y="200.36218"
x="2.9999995"
style="font-size:6.19140959000000013px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans;opacity:0.3"
y="177.36218"
x="3.9999995"
style="font-size:6.19140959px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;opacity:0.3;fill:#000000;fill-opacity:1;stroke:none;font-family:Sans"
xml:space="preserve"><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
y="200.36218"
x="3"
y="177.36218"
x="4"
sodipodi:role="line"
id="tspan3086">Blocking</tspan><tspan
style="font-style:normal;text-align:center;text-anchor:middle"
y="208.10144"
x="2.9999995"
y="185.10144"
x="3.9999995"
sodipodi:role="line"
id="tspan3090">input</tspan></text>
</g>
......
......@@ -158,11 +158,16 @@ to the on-board Schmitt-trigger buffer), the \textit{no signal detect} block
to allow propagation of blocking pulses arriving on the rear panel while the channel
has no cable plugged in while in TTL-BAR mode.
When in TTL-BAR mode, the FRONTINV bits of the lines status register
(LSR -- see Appendix~\ref{app:conv-regs-lsr}) contain the state of the no signal detect
block for each channel and can be used to check if no cable is plugged into the channel.
When in TTL mode, the FRONTINV bits are unused.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/ttl-inp}}
\caption{\label{fig:ttl-inp} TTL/TTL-BAR input logic}
\centerline{\includegraphics[width=.8\textwidth]{fig/no-sig-detect}}
\centerline{\includegraphics[width=.87\textwidth]{fig/no-sig-detect}}
\caption{\label{fig:no-sig-detect} No signal detect block}
\end{figure}
......@@ -180,6 +185,9 @@ of modules within the \textit{conv\_common\_gw} component.
By keeping the line disabled until the no signal detect block in the TTL input logic
(Section~\ref{sec:ttl-input}) disables the line, no pulse is triggered on the channel.
As seen in Figure~\ref{fig:first-pulse-inhibit}, an extra clock cycle delay is needed before
the channel is enabled, to make sure that all reset states inside the \textit{conv\_common\_gw}
block have been finished and no pulses are generated.
To keep the logic simple, the pulse inhibit logic disables the line even when the board is in
TTL repetition mode. Since in practice the effect it has on the input to the
......@@ -206,6 +214,11 @@ active-high. As seen in Figure~\ref{fig:line-inp}, only the TTL and INV-TTL line
need adaptation in the case of the CONV-TTL-BLO, since the blocking inputs are
already adapted on-board for active-high logic.
When in TTL-BAR mode, the FRONTINV bits of the lines status register
(LSR -- see Appendix~\ref{app:conv-regs-lsr}) contain the state of the no signal detect
block for each channel and can be used to check if no cable is plugged into the channel.
When in TTL mode, the FRONTINV bits are unused.
\begin{figure}[h]
\centerline{\includegraphics[width=\textwidth]{fig/line-inp}}
\caption{\label{fig:line-inp} Line input logic}
......@@ -222,7 +235,7 @@ switch lines must be negated for their active-high reflection in the SR, as
shown in Figure~\ref{fig:switches}.
\begin{figure}[h]
\centerline{\includegraphics[width=.9\textwidth]{fig/switches}}
\centerline{\includegraphics[width=.7\textwidth]{fig/switches}}
\caption{\label{fig:switches} Switch input logic}
\end{figure}
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment