Commit 5aac9b06 authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

added rtm interface tester files

parent a87bbfce
This diff is collapsed.
TT#v 2 s k #v 2 sk #v 2 sk #v 2 sk # 7 8 7 8 7 7 7 8 7 7 7 7 8 7 # 7 8 7 7 7 7  7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7 7  7 7 7 7 7 7 7 7 7 7 8 8 8  8 7 7 7 8  7 7 8 7 7 8 7 7 7 8 8  8 7 7 8  7 8 7 8 7 7 8 8  8 7 7 7  7 8  7  7 7 8 7 7  7 8 7  7 7 7 8 8  8 7 7 7 7 7 7 8  7 7 7 7 7 8 7 7 7 7 7 8 7 7 7 7 7 7 7 8 7 8  7 8 7 7 7 7  7 7 7 7 7 7 8  7 7 7 7 7 7  7 7 8 7 7  7 7 7 7 7 7 8 7 7 7 7 7 7  7 7 7 8 8  8 7 8  8 8 # 7 8 7 # 7 8 7 7 T
\ No newline at end of file
---------------------------------------------------------------------------
NCDrill File Report For: RTM_Interface_Tester.PcbDoc 5/14/2013 12:53:44 PM
---------------------------------------------------------------------------
Layer Pair : Top Layer to Bottom Layer
ASCII RoundHoles File : RTM_Interface_Tester.TXT
EIA File : RTM_Interface_Tester.DRL
Tool Hole Size Hole Type Hole Count Plated Tool Travel
---------------------------------------------------------------------------
T1 0.5mm (19.685mil) Round 10 148.01 mm (5.83 Inch)
T2 1mm (39.37mil) Round 160 485.14 mm (19.10 Inch)
T3 2.8mm (110.236mil) Round 2 88.90 mm (3.50 Inch)
T4 3mm (118.11mil) Round 3 90.00 mm (3.54 Inch)
---------------------------------------------------------------------------
Totals 175 812.05 mm (31.97 Inch)
Total Processing Time (hh:mm:ss) : 00:00:00
------------------------------------------------------------------------------------------
Gerber File Extension Report For: RTM_Interface_Tester.GBR 5/14/2013 12:53:31 PM
------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
Layer Extension Layer Description
------------------------------------------------------------------------------------------
.GTL Top Layer
.GBL Bottom Layer
.GTO Top Overlay
.GTS Top Solder
.GBS Bottom Solder
.GM1 Mechanical 1
.GM7 Mechanical 7
------------------------------------------------------------------------------------------
%FSLAX42Y42*%
%MOMM*%
G71*
G01*
G75*
G04 Layer_Color=16711935*
%ADD10R,2.15X2.30*%
%ADD11R,1.80X1.15*%
%ADD12C,1.00*%
%ADD13C,0.25*%
%ADD14C,3.00*%
%ADD15C,1.50*%
%ADD16C,3.50*%
%ADD17C,1.00*%
%ADD18C,5.10*%
%ADD19C,0.20*%
%ADD20C,0.25*%
%ADD21R,2.35X2.50*%
%ADD22R,2.00X1.35*%
%ADD23C,3.20*%
%ADD24C,1.70*%
%ADD25C,3.70*%
%ADD26C,1.20*%
%ADD27C,5.30*%
D23*
X4800Y3900D02*
D03*
X9300D02*
D03*
X300D02*
D03*
D24*
X6958Y1293D02*
D03*
X7212D02*
D03*
X7466D02*
D03*
X7720D02*
D03*
X7974D02*
D03*
X8228D02*
D03*
X8482D02*
D03*
X8736D02*
D03*
X4926D02*
D03*
X5180D02*
D03*
X5434D02*
D03*
X5688D02*
D03*
X5942D02*
D03*
X6196D02*
D03*
X6450D02*
D03*
X6704D02*
D03*
X2894D02*
D03*
X3148D02*
D03*
X3402D02*
D03*
X3656D02*
D03*
X3910D02*
D03*
X4164D02*
D03*
X4418D02*
D03*
X4672D02*
D03*
X2640D02*
D03*
X2386D02*
D03*
X2132D02*
D03*
X1878D02*
D03*
X1624D02*
D03*
X1370D02*
D03*
X1116D02*
D03*
X862D02*
D03*
X6958Y277D02*
D03*
X7212D02*
D03*
X7466D02*
D03*
X7720D02*
D03*
X7974D02*
D03*
X8228D02*
D03*
X8482D02*
D03*
X8736D02*
D03*
X4926D02*
D03*
X5180D02*
D03*
X5434D02*
D03*
X5688D02*
D03*
X5942D02*
D03*
X6196D02*
D03*
X6450D02*
D03*
X6704D02*
D03*
X2894D02*
D03*
X3148D02*
D03*
X3402D02*
D03*
X3656D02*
D03*
X3910D02*
D03*
X4164D02*
D03*
X4418D02*
D03*
X4672D02*
D03*
X2640D02*
D03*
X2386D02*
D03*
X2132D02*
D03*
X1878D02*
D03*
X1624D02*
D03*
X1370D02*
D03*
X1116D02*
D03*
X862D02*
D03*
Y1039D02*
D03*
X1116D02*
D03*
X1370D02*
D03*
X1624D02*
D03*
X1878D02*
D03*
X2132D02*
D03*
X2386D02*
D03*
X2640D02*
D03*
X4672D02*
D03*
X4418D02*
D03*
X4164D02*
D03*
X3910D02*
D03*
X3656D02*
D03*
X3402D02*
D03*
X3148D02*
D03*
X2894D02*
D03*
X6704D02*
D03*
X6450D02*
D03*
X6196D02*
D03*
X5942D02*
D03*
X5688D02*
D03*
X5434D02*
D03*
X5180D02*
D03*
X4926D02*
D03*
X8736D02*
D03*
X8482D02*
D03*
X8228D02*
D03*
X7974D02*
D03*
X7720D02*
D03*
X7466D02*
D03*
X7212D02*
D03*
X6958D02*
D03*
X862Y785D02*
D03*
X1116D02*
D03*
X1370D02*
D03*
X1624D02*
D03*
X1878D02*
D03*
X2132D02*
D03*
X2386D02*
D03*
X2640D02*
D03*
X4672D02*
D03*
X4418D02*
D03*
X4164D02*
D03*
X3910D02*
D03*
X3656D02*
D03*
X3402D02*
D03*
X3148D02*
D03*
X2894D02*
D03*
X6704D02*
D03*
X6450D02*
D03*
X6196D02*
D03*
X5942D02*
D03*
X5688D02*
D03*
X5434D02*
D03*
X5180D02*
D03*
X4926D02*
D03*
X8736D02*
D03*
X8482D02*
D03*
X8228D02*
D03*
X7974D02*
D03*
X7720D02*
D03*
X7466D02*
D03*
X7212D02*
D03*
X6958D02*
D03*
Y531D02*
D03*
X7212D02*
D03*
X7466D02*
D03*
X7720D02*
D03*
X7974D02*
D03*
X8228D02*
D03*
X8482D02*
D03*
X8736D02*
D03*
X4926D02*
D03*
X5180D02*
D03*
X5434D02*
D03*
X5688D02*
D03*
X5942D02*
D03*
X6196D02*
D03*
X6450D02*
D03*
X6704D02*
D03*
X2894D02*
D03*
X3148D02*
D03*
X3402D02*
D03*
X3656D02*
D03*
X3910D02*
D03*
X4164D02*
D03*
X4418D02*
D03*
X4672D02*
D03*
X2640D02*
D03*
X2386D02*
D03*
X2132D02*
D03*
X1878D02*
D03*
X1624D02*
D03*
X1370D02*
D03*
X1116D02*
D03*
X862D02*
D03*
D26*
X6330Y1700D02*
D03*
X7710D02*
D03*
X3780D02*
D03*
X2520D02*
D03*
X8000Y2900D02*
D03*
X6700D02*
D03*
X5400D02*
D03*
X4200D02*
D03*
X2800D02*
D03*
X1600Y2890D02*
D03*
D27*
X9244Y277D02*
D03*
X354D02*
D03*
M02*
%FSLAX42Y42*%
%MOMM*%
G71*
G01*
G75*
G04 Layer_Color=16711935*
%ADD10R,2.15X2.30*%
%ADD11R,1.80X1.15*%
%ADD12C,1.00*%
%ADD13C,0.25*%
%ADD14C,3.00*%
%ADD15C,1.50*%
%ADD16C,3.50*%
%ADD17C,1.00*%
%ADD18C,5.10*%
%ADD19C,0.20*%
%ADD20C,0.25*%
%ADD21R,2.35X2.50*%
%ADD22R,2.00X1.35*%
%ADD23C,3.20*%
%ADD24C,1.70*%
%ADD25C,3.70*%
%ADD26C,1.20*%
%ADD27C,5.30*%
%ADD28C,0.10*%
D28*
X0Y0D02*
Y1800D01*
Y4250D01*
X9600D01*
X0Y0D02*
X9600D01*
Y4250D01*
M02*
%FSLAX42Y42*%
%MOMM*%
G71*
G01*
G75*
G04 Layer_Color=32768*
%ADD10R,2.15X2.30*%
%ADD11R,1.80X1.15*%
%ADD12C,1.00*%
%ADD13C,0.25*%
%ADD14C,3.00*%
%ADD15C,1.50*%
%ADD16C,3.50*%
%ADD17C,1.00*%
%ADD18C,5.10*%
%ADD19C,0.20*%
%ADD20C,0.25*%
%ADD21R,2.35X2.50*%
%ADD22R,2.00X1.35*%
%ADD23C,3.20*%
%ADD24C,1.70*%
%ADD25C,3.70*%
%ADD26C,1.20*%
%ADD27C,5.30*%
%ADD28C,0.10*%
M02*
%FSLAX42Y42*%
%MOMM*%
G71*
G01*
G75*
G04 Layer_Physical_Order=1*
G04 Layer_Color=255*
%ADD10R,2.15X2.30*%
%ADD11R,1.80X1.15*%
%ADD12C,1.00*%
%ADD13C,0.25*%
%ADD14C,3.00*%
%ADD15C,1.50*%
%ADD16C,3.50*%
%ADD17C,1.00*%
D10*
X8000Y1985D02*
D03*
Y2415D02*
D03*
X6700Y1985D02*
D03*
Y2415D02*
D03*
X5400Y1985D02*
D03*
Y2415D02*
D03*
X4200D02*
D03*
Y1985D02*
D03*
X2800Y2415D02*
D03*
Y1985D02*
D03*
X1600Y2415D02*
D03*
Y1985D02*
D03*
D11*
X2100Y3055D02*
D03*
Y3345D02*
D03*
X3300Y3055D02*
D03*
Y3345D02*
D03*
X4700Y3055D02*
D03*
Y3345D02*
D03*
X5900D02*
D03*
Y3055D02*
D03*
X7200Y3345D02*
D03*
Y3055D02*
D03*
X8500Y3345D02*
D03*
Y3055D02*
D03*
X2100Y2455D02*
D03*
Y2745D02*
D03*
X3300Y2455D02*
D03*
Y2745D02*
D03*
X4700Y2455D02*
D03*
Y2745D02*
D03*
X5900D02*
D03*
Y2455D02*
D03*
X7200Y2745D02*
D03*
Y2455D02*
D03*
X8500Y2745D02*
D03*
Y2455D02*
D03*
X2100Y1855D02*
D03*
Y2145D02*
D03*
X3300Y1855D02*
D03*
Y2145D02*
D03*
X4700Y1855D02*
D03*
Y2145D02*
D03*
X5900D02*
D03*
Y1855D02*
D03*
X7200Y2145D02*
D03*
Y1855D02*
D03*
X8500Y2145D02*
D03*
Y1855D02*
D03*
D12*
X5942Y1039D02*
Y1293D01*
X5688Y1039D02*
Y1293D01*
X2132Y1039D02*
Y1293D01*
X1878Y1039D02*
Y1293D01*
X6958Y1039D02*
Y1293D01*
X7212Y1039D02*
Y1293D01*
X8000Y2425D02*
Y2900D01*
X8000Y2900D01*
X6700Y2425D02*
Y2900D01*
X6700Y2900D01*
X5400Y2425D02*
Y2900D01*
X5400Y2900D01*
X4200Y2425D02*
Y2900D01*
X4200Y2900D01*
X2800Y2425D02*
Y2900D01*
X2800Y2900D01*
X1600Y2890D02*
X1600Y2890D01*
X1600Y2415D02*
Y2890D01*
D13*
X2765Y1168D02*
Y1985D01*
Y2085D01*
X3300Y3345D02*
X3345D01*
X3490Y3490D01*
X7500D01*
X7590Y3400D01*
Y1600D02*
Y3400D01*
X7353Y1363D02*
X7590Y1600D01*
X7353Y1246D02*
Y1363D01*
Y1246D02*
X7466Y1133D01*
Y1039D02*
Y1133D01*
X7600Y1380D02*
X7710Y1490D01*
Y1700D01*
X7600Y1159D02*
Y1380D01*
X7710Y1700D02*
Y3440D01*
X6330Y1173D02*
Y1700D01*
X6196Y1039D02*
X6330Y1173D01*
X2125Y3345D02*
X2360Y3580D01*
X7570D01*
X7710Y3440D01*
X7600Y1159D02*
X7720Y1039D01*
X5710Y3200D02*
X5865Y3355D01*
X5710Y2305D02*
Y3200D01*
X5400Y1995D02*
X5710Y2305D01*
X3780Y1169D02*
X3910Y1039D01*
X3780Y1169D02*
Y1700D01*
X2520Y1173D02*
Y1700D01*
X2100Y3345D02*
X2125D01*
X4873Y1173D02*
X5300D01*
X4813Y1113D02*
X4873Y1173D01*
X4813Y463D02*
Y1113D01*
X4750Y400D02*
X4813Y463D01*
X4080Y400D02*
X4750D01*
X4040Y440D02*
X4080Y400D01*
X4040Y440D02*
Y909D01*
X3910Y1039D02*
X4040Y909D01*
X4960Y130D02*
X5067Y237D01*
X4100Y130D02*
X4960D01*
X4030Y200D02*
X4100Y130D01*
X4030Y200D02*
Y350D01*
X3962Y418D02*
X4030Y350D01*
X3863Y418D02*
X3962D01*
X3797Y484D02*
X3863Y418D01*
X3797Y484D02*
Y898D01*
X3656Y1039D02*
X3797Y898D01*
X5067Y926D02*
X5180Y1039D01*
X5067Y237D02*
Y926D01*
X5180Y1011D02*
Y1039D01*
X2386D02*
X2520Y1173D01*
X2765Y1985D02*
X2800D01*
X8388Y277D02*
X8482D01*
X8275Y164D02*
X8388Y277D01*
X8181Y164D02*
X8275D01*
X8068Y277D02*
X8181Y164D01*
X7974Y277D02*
X8068D01*
X2800D02*
X2894D01*
X2687Y164D02*
X2800Y277D01*
X815Y164D02*
X2687D01*
X749Y230D02*
X815Y164D01*
X749Y230D02*
Y324D01*
X862Y437D01*
Y531D01*
X1163Y390D02*
X1276Y277D01*
X956D02*
X1069Y390D01*
X1163D01*
X7343Y400D02*
X7466Y277D01*
X6573Y400D02*
X7343D01*
X6450Y277D02*
X6573Y400D01*
X6831Y150D02*
X6958Y277D01*
X5561Y150D02*
X6831D01*
X5434Y277D02*
X5561Y150D01*
X3787Y400D02*
X3910Y277D01*
X1247Y400D02*
X3787D01*
X1116Y531D02*
X1247Y400D01*
X1276Y277D02*
X1370D01*
X862D02*
X956D01*
X8228Y531D02*
X8360Y663D01*
Y1550D01*
X8500Y1690D01*
Y1855D01*
X6958Y531D02*
Y559D01*
X7071Y672D01*
Y1591D01*
X7200Y1720D01*
Y1855D01*
X5688Y531D02*
X5820Y663D01*
Y1640D01*
X5900Y1720D01*
Y1855D01*
X4418Y531D02*
X4550Y663D01*
Y1580D01*
X4700Y1730D01*
Y1855D01*
X3148Y531D02*
X3289Y672D01*
Y1844D01*
X3300Y1855D01*
X1878Y531D02*
X2019Y672D01*
Y1340D01*
X2100Y1421D01*
Y1855D01*
X8100Y1165D02*
Y1670D01*
X8000Y1770D02*
X8100Y1670D01*
X8000Y1770D02*
Y1985D01*
X6575Y1650D02*
X6700Y1775D01*
X6575Y1168D02*
Y1650D01*
X5300D02*
X5400Y1750D01*
X5300Y1173D02*
Y1650D01*
X4050Y1650D02*
X4200Y1800D01*
X4050Y1153D02*
Y1650D01*
X1500Y1700D02*
X1600Y1800D01*
X1500Y1163D02*
Y1700D01*
X7974Y1039D02*
X8100Y1165D01*
X6575Y1168D02*
X6704Y1039D01*
X6700Y1775D02*
Y1985D01*
X5300Y1173D02*
X5434Y1039D01*
X5400Y1750D02*
Y1985D01*
X4050Y1153D02*
X4164Y1039D01*
X4200Y1800D02*
Y1985D01*
X2765Y1168D02*
X2894Y1039D01*
X1500Y1163D02*
X1624Y1039D01*
X1600Y1800D02*
Y1985D01*
X8500Y2755D02*
Y3065D01*
X8500Y2155D02*
Y2465D01*
X8000Y1995D02*
X8310Y2305D01*
Y3200D01*
X8465Y3355D01*
X7200Y2755D02*
Y3065D01*
X7200Y2155D02*
Y2465D01*
X6700Y1995D02*
X7010Y2305D01*
Y3200D01*
X7165Y3355D01*
X5900Y2755D02*
Y3065D01*
X5900Y2155D02*
Y2465D01*
X4700Y2755D02*
Y3065D01*
X4700Y2155D02*
Y2465D01*
X4200Y1995D02*
X4510Y2305D01*
Y3200D01*
X4665Y3355D01*
X3300Y2755D02*
Y3065D01*
X3300Y2155D02*
Y2465D01*
X2800Y1995D02*
X3110Y2305D01*
Y3200D01*
X3265Y3355D01*
X1910Y3190D02*
X2065Y3345D01*
X1910Y2295D02*
Y3190D01*
X1600Y1985D02*
X1910Y2295D01*
X2065Y3345D02*
X2100D01*
X2100Y2145D02*
Y2455D01*
X2100Y2745D02*
X2100Y2745D01*
X2100Y2745D02*
Y3055D01*
D14*
X4800Y3900D02*
D03*
X9300D02*
D03*
X300D02*
D03*
D15*
X6958Y1293D02*
D03*
X7212D02*
D03*
X7466D02*
D03*
X7720D02*
D03*
X7974D02*
D03*
X8228D02*
D03*
X8482D02*
D03*
X8736D02*
D03*
X4926D02*
D03*
X5180D02*
D03*
X5434D02*
D03*
X5688D02*
D03*
X5942D02*
D03*
X6196D02*
D03*
X6450D02*
D03*
X6704D02*
D03*
X2894D02*
D03*
X3148D02*
D03*
X3402D02*
D03*
X3656D02*
D03*
X3910D02*
D03*
X4164D02*
D03*
X4418D02*
D03*
X4672D02*
D03*
X2640D02*
D03*
X2386D02*
D03*
X2132D02*
D03*
X1878D02*
D03*
X1624D02*
D03*
X1370D02*
D03*
X1116D02*
D03*
X862D02*
D03*
X6958Y277D02*
D03*
X7212D02*
D03*
X7466D02*
D03*
X7720D02*
D03*
X7974D02*
D03*
X8228D02*
D03*
X8482D02*
D03*
X8736D02*
D03*
X4926D02*
D03*
X5180D02*
D03*
X5434D02*
D03*
X5688D02*
D03*
X5942D02*
D03*
X6196D02*
D03*
X6450D02*
D03*
X6704D02*
D03*
X2894D02*
D03*
X3148D02*
D03*
X3402D02*
D03*
X3656D02*
D03*
X3910D02*
D03*
X4164D02*
D03*
X4418D02*
D03*
X4672D02*
D03*
X2640D02*
D03*
X2386D02*
D03*
X2132D02*
D03*
X1878D02*
D03*
X1624D02*
D03*
X1370D02*
D03*
X1116D02*
D03*
X862D02*
D03*
Y1039D02*
D03*
X1116D02*
D03*
X1370D02*
D03*
X1624D02*
D03*
X1878D02*
D03*
X2132D02*
D03*
X2386D02*
D03*
X2640D02*
D03*
X4672D02*
D03*
X4418D02*
D03*
X4164D02*
D03*
X3910D02*
D03*
X3656D02*
D03*
X3402D02*
D03*
X3148D02*
D03*
X2894D02*
D03*
X6704D02*
D03*
X6450D02*
D03*
X6196D02*
D03*
X5942D02*
D03*
X5688D02*
D03*
X5434D02*
D03*
X5180D02*
D03*
X4926D02*
D03*
X8736D02*
D03*
X8482D02*
D03*
X8228D02*
D03*
X7974D02*
D03*
X7720D02*
D03*
X7466D02*
D03*
X7212D02*
D03*
X6958D02*
D03*
X862Y785D02*
D03*
X1116D02*
D03*
X1370D02*
D03*
X1624D02*
D03*
X1878D02*
D03*
X2132D02*
D03*
X2386D02*
D03*
X2640D02*
D03*
X4672D02*
D03*
X4418D02*
D03*
X4164D02*
D03*
X3910D02*
D03*
X3656D02*
D03*
X3402D02*
D03*
X3148D02*
D03*
X2894D02*
D03*
X6704D02*
D03*
X6450D02*
D03*
X6196D02*
D03*
X5942D02*
D03*
X5688D02*
D03*
X5434D02*
D03*
X5180D02*
D03*
X4926D02*
D03*
X8736D02*
D03*
X8482D02*
D03*
X8228D02*
D03*
X7974D02*
D03*
X7720D02*
D03*
X7466D02*
D03*
X7212D02*
D03*
X6958D02*
D03*
Y531D02*
D03*
X7212D02*
D03*
X7466D02*
D03*
X7720D02*
D03*
X7974D02*
D03*
X8228D02*
D03*
X8482D02*
D03*
X8736D02*
D03*
X4926D02*
D03*
X5180D02*
D03*
X5434D02*
D03*
X5688D02*
D03*
X5942D02*
D03*
X6196D02*
D03*
X6450D02*
D03*
X6704D02*
D03*
X2894D02*
D03*
X3148D02*
D03*
X3402D02*
D03*
X3656D02*
D03*
X3910D02*
D03*
X4164D02*
D03*
X4418D02*
D03*
X4672D02*
D03*
X2640D02*
D03*
X2386D02*
D03*
X2132D02*
D03*
X1878D02*
D03*
X1624D02*
D03*
X1370D02*
D03*
X1116D02*
D03*
X862D02*
D03*
D16*
X9244Y277D02*
D03*
X354D02*
D03*
D17*
X6330Y1700D02*
D03*
X7710D02*
D03*
X3780D02*
D03*
X2520D02*
D03*
X8000Y2900D02*
D03*
X6700D02*
D03*
X5400D02*
D03*
X4200D02*
D03*
X2800D02*
D03*
X1600Y2890D02*
D03*
M02*
%FSLAX42Y42*%
%MOMM*%
G71*
G01*
G75*
G04 Layer_Color=8388736*
%ADD10R,2.15X2.30*%
%ADD11R,1.80X1.15*%
%ADD12C,1.00*%
%ADD13C,0.25*%
%ADD14C,3.00*%
%ADD15C,1.50*%
%ADD16C,3.50*%
%ADD17C,1.00*%
%ADD18C,5.10*%
%ADD19C,0.20*%
%ADD20C,0.25*%
%ADD21R,2.35X2.50*%
%ADD22R,2.00X1.35*%
%ADD23C,3.20*%
%ADD24C,1.70*%
%ADD25C,3.70*%
%ADD26C,1.20*%
D21*
X8000Y1985D02*
D03*
Y2415D02*
D03*
X6700Y1985D02*
D03*
Y2415D02*
D03*
X5400Y1985D02*
D03*
Y2415D02*
D03*
X4200D02*
D03*
Y1985D02*
D03*
X2800Y2415D02*
D03*
Y1985D02*
D03*
X1600Y2415D02*
D03*
Y1985D02*
D03*
D22*
X2100Y3055D02*
D03*
Y3345D02*
D03*
X3300Y3055D02*
D03*
Y3345D02*
D03*
X4700Y3055D02*
D03*
Y3345D02*
D03*
X5900D02*
D03*
Y3055D02*
D03*
X7200Y3345D02*
D03*
Y3055D02*
D03*
X8500Y3345D02*
D03*
Y3055D02*
D03*
X2100Y2455D02*
D03*
Y2745D02*
D03*
X3300Y2455D02*
D03*
Y2745D02*
D03*
X4700Y2455D02*
D03*
Y2745D02*
D03*
X5900D02*
D03*
Y2455D02*
D03*
X7200Y2745D02*
D03*
Y2455D02*
D03*
X8500Y2745D02*
D03*
Y2455D02*
D03*
X2100Y1855D02*
D03*
Y2145D02*
D03*
X3300Y1855D02*
D03*
Y2145D02*
D03*
X4700Y1855D02*
D03*
Y2145D02*
D03*
X5900D02*
D03*
Y1855D02*
D03*
X7200Y2145D02*
D03*
Y1855D02*
D03*
X8500Y2145D02*
D03*
Y1855D02*
D03*
D23*
X4800Y3900D02*
D03*
X9300D02*
D03*
X300D02*
D03*
D24*
X6958Y1293D02*
D03*
X7212D02*
D03*
X7466D02*
D03*
X7720D02*
D03*
X7974D02*
D03*
X8228D02*
D03*
X8482D02*
D03*
X8736D02*
D03*
X4926D02*
D03*
X5180D02*
D03*
X5434D02*
D03*
X5688D02*
D03*
X5942D02*
D03*
X6196D02*
D03*
X6450D02*
D03*
X6704D02*
D03*
X2894D02*
D03*
X3148D02*
D03*
X3402D02*
D03*
X3656D02*
D03*
X3910D02*
D03*
X4164D02*
D03*
X4418D02*
D03*
X4672D02*
D03*
X2640D02*
D03*
X2386D02*
D03*
X2132D02*
D03*
X1878D02*
D03*
X1624D02*
D03*
X1370D02*
D03*
X1116D02*
D03*
X862D02*
D03*
X6958Y277D02*
D03*
X7212D02*
D03*
X7466D02*
D03*
X7720D02*
D03*
X7974D02*
D03*
X8228D02*
D03*
X8482D02*
D03*
X8736D02*
D03*
X4926D02*
D03*
X5180D02*
D03*
X5434D02*
D03*
X5688D02*
D03*
X5942D02*
D03*
X6196D02*
D03*
X6450D02*
D03*
X6704D02*
D03*
X2894D02*
D03*
X3148D02*
D03*
X3402D02*
D03*
X3656D02*
D03*
X3910D02*
D03*
X4164D02*
D03*
X4418D02*
D03*
X4672D02*
D03*
X2640D02*
D03*
X2386D02*
D03*
X2132D02*
D03*
X1878D02*
D03*
X1624D02*
D03*
X1370D02*
D03*
X1116D02*
D03*
X862D02*
D03*
Y1039D02*
D03*
X1116D02*
D03*
X1370D02*
D03*
X1624D02*
D03*
X1878D02*
D03*
X2132D02*
D03*
X2386D02*
D03*
X2640D02*
D03*
X4672D02*
D03*
X4418D02*
D03*
X4164D02*
D03*
X3910D02*
D03*
X3656D02*
D03*
X3402D02*
D03*
X3148D02*
D03*
X2894D02*
D03*
X6704D02*
D03*
X6450D02*
D03*
X6196D02*
D03*
X5942D02*
D03*
X5688D02*
D03*
X5434D02*
D03*
X5180D02*
D03*
X4926D02*
D03*
X8736D02*
D03*
X8482D02*
D03*
X8228D02*
D03*
X7974D02*
D03*
X7720D02*
D03*
X7466D02*
D03*
X7212D02*
D03*
X6958D02*
D03*
X862Y785D02*
D03*
X1116D02*
D03*
X1370D02*
D03*
X1624D02*
D03*
X1878D02*
D03*
X2132D02*
D03*
X2386D02*
D03*
X2640D02*
D03*
X4672D02*
D03*
X4418D02*
D03*
X4164D02*
D03*
X3910D02*
D03*
X3656D02*
D03*
X3402D02*
D03*
X3148D02*
D03*
X2894D02*
D03*
X6704D02*
D03*
X6450D02*
D03*
X6196D02*
D03*
X5942D02*
D03*
X5688D02*
D03*
X5434D02*
D03*
X5180D02*
D03*
X4926D02*
D03*
X8736D02*
D03*
X8482D02*
D03*
X8228D02*
D03*
X7974D02*
D03*
X7720D02*
D03*
X7466D02*
D03*
X7212D02*
D03*
X6958D02*
D03*
Y531D02*
D03*
X7212D02*
D03*
X7466D02*
D03*
X7720D02*
D03*
X7974D02*
D03*
X8228D02*
D03*
X8482D02*
D03*
X8736D02*
D03*
X4926D02*
D03*
X5180D02*
D03*
X5434D02*
D03*
X5688D02*
D03*
X5942D02*
D03*
X6196D02*
D03*
X6450D02*
D03*
X6704D02*
D03*
X2894D02*
D03*
X3148D02*
D03*
X3402D02*
D03*
X3656D02*
D03*
X3910D02*
D03*
X4164D02*
D03*
X4418D02*
D03*
X4672D02*
D03*
X2640D02*
D03*
X2386D02*
D03*
X2132D02*
D03*
X1878D02*
D03*
X1624D02*
D03*
X1370D02*
D03*
X1116D02*
D03*
X862D02*
D03*
D25*
X9244Y277D02*
D03*
X354D02*
D03*
D26*
X6330Y1700D02*
D03*
X7710D02*
D03*
X3780D02*
D03*
X2520D02*
D03*
X8000Y2900D02*
D03*
X6700D02*
D03*
X5400D02*
D03*
X4200D02*
D03*
X2800D02*
D03*
X1600Y2890D02*
D03*
M02*
Layer Pairs Export File for PCB: \\cern.ch\dfs\Users\t\tstana\Desktop\RTM_Interface_Tester\RTM_Interface_Tester.PcbDoc
LayersSetName=Top_Bot_Thru_Holes|DrillFile=rtm_interface_tester.txt|LayerPairs=gtl,gbl
*************************************************************
FileName = RTM_Interface_Tester.GBR
AutoAperture = True
*************************************************************
Generating : Top Layer
File : RTM_Interface_Tester.GTL
Adding Layer : Top Layer
Adding Layer : Multi-Layer
Used DCodes :
D10
D11
D12
D13
D14
D15
D16
D17
*************************************************************
*************************************************************
Generating : Bottom Layer
File : RTM_Interface_Tester.GBL
Adding Layer : Bottom Layer
Adding Layer : Multi-Layer
Used DCodes :
D12
D13
D14
D15
D17
D18
*************************************************************
*************************************************************
Generating : Top Overlay
File : RTM_Interface_Tester.GTO
Adding Layer : Top Overlay
Used DCodes :
D13
D19
D20
*************************************************************
*************************************************************
Generating : Top Solder
File : RTM_Interface_Tester.GTS
Adding Layer : Top Solder
Adding Layer : Top Layer
Adding Layer : Multi-Layer
Used DCodes :
D21
D22
D23
D24
D25
D26
*************************************************************
*************************************************************
Generating : Bottom Solder
File : RTM_Interface_Tester.GBS
Adding Layer : Bottom Solder
Adding Layer : Bottom Layer
Adding Layer : Multi-Layer
Used DCodes :
D23
D24
D26
D27
*************************************************************
*************************************************************
Generating : Mechanical 1
File : RTM_Interface_Tester.GM1
Adding Layer : Mechanical 1
Used DCodes :
D28
*************************************************************
*************************************************************
Generating : Mechanical 7
File : RTM_Interface_Tester.GM7
Adding Layer : Mechanical 7
Used DCodes :
*************************************************************
DRC Rules Export File for PCB: \\cern.ch\dfs\Users\t\tstana\Desktop\RTM_Interface_Tester\RTM_Interface_Tester.PcbDoc
RuleKind=Width|RuleName=Width_GND|Scope=Board|Minimum=10.00
RuleKind=ShortCircuit|RuleName=ShortCircuit|Scope=Board|Allowed=0
RuleKind=SolderMaskExpansion|RuleName=SolderMaskExpansion|Scope=Board|Minimum=4.00
RuleKind=Width|RuleName=Width_Normal|Scope=Board|Minimum=7.87
RuleKind=Clearance|RuleName=Clearance|Scope=Board|Minimum=6.69
M48
;Layer_Color=9474304
;FILE_FORMAT=4:2
METRIC,LZ
;TYPE=PLATED
T1F00S00C0.50
T2F00S00C1.00
T3F00S00C2.80
T4F00S00C3.00
%
T01
X0016Y00289
X0028Y0029
X0042
X0054
X00378Y0017
X00252
X00633
X00771
X008Y0029
X0067
T02
X005688Y000277
X005942
X006196
X00645
X006704
X006958
X007212
X007466
X00772
X007974
X008228
X008482
X008736
X005434
X00518
X004926
X004672
X004418
X004164
X00391
X003656
X003402
X003148
X002894
X00264
X002386
X002132
X001878
X001624
X00137
X001116
X000862
Y000531
Y000785
Y001039
Y001293
X001116
X00137
X001624
Y001039
X00137
X001116
Y000785
X00137
X001624
Y000531
X00137
X001116
X001878
Y000785
Y001039
Y001293
X002132
X002386
Y001039
X002132
Y000785
X002386
Y000531
X002132
X00264
Y000785
Y001039
Y001293
X002894
X003148
X003402
X003656
Y001039
X003402
X003148
X002894
Y000785
X003148
X003402
X003656
Y000531
X003402
X003148
X002894
X00391
Y000785
Y001039
Y001293
X004164
X004418
X004672
X004926
X00518
X005434
Y001039
X00518
X004926
X004672
X004418
X004164
Y000785
X004418
X004672
X004926
X00518
X005434
Y000531
X00518
X004926
X004672
X004418
X004164
X005688
X005942
Y000785
X005688
Y001039
X005942
Y001293
X005688
X006196
X00645
X006704
X006958
X007212
X007466
X00772
X007974
X008228
Y001039
X007974
X00772
X007466
X007212
X006958
X006704
X00645
X006196
Y000785
X00645
X006704
X006958
X007212
X007466
X00772
X007974
X008228
Y000531
X007974
X00772
X007466
X007212
X006958
X006704
X00645
X006196
X008482
Y000785
Y001039
Y001293
X008736
Y001039
Y000785
Y000531
T03
X009244Y000277
X000354
T04
X0003Y0039
X0048
X0093
M30
D10 RECTANGULAR 90.551 84.646 0.000 FLASH 90.000
D11 RECTANGULAR 45.276 70.866 0.000 FLASH 270.000
D12 ROUNDED 39.370 39.370 0.000 LINE 0.000
D13 ROUNDED 10.000 10.000 0.000 LINE 0.000
D14 ROUNDED 118.110 118.110 0.000 FLASH 0.000
D15 ROUNDED 59.055 59.055 0.000 FLASH 0.000
D16 ROUNDED 137.795 137.795 0.000 FLASH 0.000
D17 ROUNDED 39.370 39.370 0.000 FLASH 0.000
D18 ROUNDED 200.787 200.787 0.000 FLASH 0.000
D19 ROUNDED 7.874 7.874 0.000 LINE 0.000
D20 ROUNDED 9.842 9.842 0.000 LINE 0.000
D21 RECTANGULAR 98.551 92.646 0.000 FLASH 90.000
D22 RECTANGULAR 53.276 78.866 0.000 FLASH 270.000
D23 ROUNDED 126.110 126.110 0.000 FLASH 0.000
D24 ROUNDED 67.055 67.055 0.000 FLASH 0.000
D25 ROUNDED 145.795 145.795 0.000 FLASH 0.000
D26 ROUNDED 47.370 47.370 0.000 FLASH 0.000
D27 ROUNDED 208.787 208.787 0.000 FLASH 0.000
D28 ROUNDED 3.937 3.937 0.000 LINE 0.000
Output: Bill of Materials
Type : BOM
From : Variant [[No Variations]] of Project [RTM_Interface_Tester.PrjPCB]
Files Generated : 0
Documents Printed : 0
Finished Output Generation At 10:21:06 AM On 7/1/2013
Field=Description~String~Description~100|Field=Comment~String~Comment~100|Field=Designator~String~Designator~416|Field=Quantity~Integer~Quantity~100|ReportField=Currency~<none>|ReportField=ProductionQuantity~1|ReportField=ProjectFileName~RTM_Interface_Tester.PrjPCB|ReportField=ProjectFullPath~C:\Users\tstana\projects\conv-ttl-blo\pcb\RTM_Interface_Tester\RTM_Interface_Tester.PrjPCB|ReportField=Title~Bill of Materials For Project [RTM_Interface_Tester.PrjPCB] (No PCB Document Selected)|ReportField=TotalQuantity~25|ReportField=ReportTime~10:21:21 AM|ReportField=ReportDate~7/1/2013|ReportField=ReportDateTime~7/1/2013 10:21:21 AM|ReportField=OutputName~Bill of Materials|ReportField=OutputType~BOM_PartType|ReportField=GeneratorName~BOM|ReportField=GeneratorDescription~Bill of Materials|ReportField=VariantName~None|ReportField=Address1~|ReportField=Address2~|ReportField=Address3~|ReportField=Address4~|ReportField=ApprovedBy~|ReportField=Author~|ReportField=CheckedBy~|ReportField=CheckedDate~-|ReportField=CompanyName~|ReportField=CreateDate~4/24/2013|ReportField=CurrentDate~7/1/2013|ReportField=CurrentTime~10:21:13 AM|ReportField=Date~|ReportField=Designer~Theodor-Adrian Stana|ReportField=DivGrp~BE/CO|ReportField=DocumentFullPathAndName~C:\Users\tstana\projects\conv-ttl-blo\pcb\RTM_Interface_Tester\RTM_Interface_Tester.SchDoc|ReportField=DocumentName~RTM_Interface_Tester.SchDoc|ReportField=DocumentNumber~|ReportField=DrawnBy~Theodor-Adrian Stana|ReportField=EDA_Number~|ReportField=Engineer~Theodor-Adrian Stana|ReportField=ImagePath~|ReportField=ModifiedBy~-|ReportField=ModifiedDate~7/1/2013|ReportField=Organization~|ReportField=ProjectName~RTM_Interface_Tester.PrjPCB|ReportField=Projet~Blocking Pulse Repeater PTS|ReportField=Revision~|ReportField=Rule~|ReportField=SheetNumber~|ReportField=SheetTotal~|ReportField=Time~|ReportField=Title~PTS|ReportField=Title2~RTM Interface Tester|ReportField=DataSourceFileName~RTM_Interface_Tester.PrjPCB|ReportField=DataSourceFullPath~C:\Users\tstana\projects\conv-ttl-blo\pcb\RTM_Interface_Tester\RTM_Interface_Tester.PrjPCB
Resistor - 0.1%|51|R1, R2, R3, R4, R5, R6, R7, R8, R9, R10, R11, R12, R13, R14, R15, R16, R17, R18|18
Connector 160zabcd Female (5x32)|HAR-BUS 64|P2|1
Transient Voltage Suppressor Diode (Bi-Directional)|SMBJ30CA|D1, D2, D3, D4, D5, D6|6
[OutputJobFile]
Version=1.0
[PublishSettings]
OutputFilePath2=C:\Users\tstana\projects\conv-ttl-blo\pcb\RTM_Interface_Tester\RTM_Interface_Tester_pcb-mat.pdf
ReleaseManaged2=0
OutputBasePath2=C:\Users\tstana\projects\conv-ttl-blo\pcb\RTM_Interface_Tester\
OutputPathMedia2=
OutputPathOutputer2=[Output Type]
OutputFileName2=RTM_Interface_Tester_pcb-mat.pdf
OpenOutput2=1
PromptOverwrite2=1
PublishMethod2=0
ZoomLevel2=50
FitSCHPrintSizeToDoc2=0
FitPCBPrintSizeToDoc2=0
GenerateNetsInfo2=1
MarkPins2=1
MarkNetLabels2=1
MarkPortsId2=1
GenerateTOC=1
OutputFilePath3=C:\Users\tstana\projects\conv-ttl-blo\pcb\RTM_Interface_Tester\Project Outputs for RTM_Interface_Tester\
ReleaseManaged3=1
OutputBasePath3=Project Outputs for RTM_Interface_Tester
OutputPathMedia3=
OutputPathOutputer3=[Output Type]
OutputFileName3=
OpenOutput3=1
OutputFilePath4=C:\Users\tstana\projects\conv-ttl-blo\pcb\RTM_Interface_Tester\Project Outputs for RTM_Interface_Tester\
ReleaseManaged4=1
OutputBasePath4=Project Outputs for RTM_Interface_Tester
OutputPathMedia4=
OutputPathOutputer4=[Output Type]
OutputFileName4=
OpenOutput4=1
PromptOverwrite4=1
PublishMethod4=5
ZoomLevel4=50
FitSCHPrintSizeToDoc4=1
FitPCBPrintSizeToDoc4=1
GenerateNetsInfo4=1
MarkPins4=1
MarkNetLabels4=1
MarkPortsId4=1
MediaFormat4=Windows Media file (*.wmv,*.wma,*.asf)
FixedDimensions4=1
Width4=352
Height4=288
MultiFile4=0
FramesPerSecond4=25
FramesPerSecondDenom4=1
AviPixelFormat4=7
AviCompression4=MP42 MS-MPEG4 V2
AviQuality4=100
FFmpegVideoCodecId4=13
FFmpegPixelFormat4=0
FFmpegQuality4=80
WmvVideoCodecName4=Windows Media Video V7
WmvQuality4=80
[GeneratedFilesSettings]
RelativeOutputPath2=C:\Users\tstana\projects\conv-ttl-blo\pcb\RTM_Interface_Tester\RTM_Interface_Tester_pcb-mat.pdf
OpenOutputs2=1
RelativeOutputPath3=C:\Users\tstana\projects\conv-ttl-blo\pcb\RTM_Interface_Tester\Project Outputs for RTM_Interface_Tester\
OpenOutputs3=1
AddToProject3=1
TimestampFolder3=0
UseOutputName3=0
OpenODBOutput3=0
OpenGerberOutput3=0
OpenNCDrillOutput3=0
OpenIPCOutput3=0
EnableReload3=0
RelativeOutputPath4=C:\Users\tstana\projects\conv-ttl-blo\pcb\RTM_Interface_Tester\Project Outputs for RTM_Interface_Tester\
OpenOutputs4=1
[OutputGroup1]
Name=
Description=
TargetOutputMedium=PDF
VariantName=[No Variations]
VariantScope=0
CurrentConfigurationName=
TargetPrinter=\\CERNPRINTHP\864-1A17-HPCOL
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintWhat=1
OutputMedium1=Print Job
OutputMedium1_Type=Printer
OutputMedium1_Printer=
OutputMedium1_PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintWhat=1
OutputMedium2=PDF
OutputMedium2_Type=Publish
OutputMedium3=Folder Structure
OutputMedium3_Type=GeneratedFiles
OutputMedium4=Video
OutputMedium4_Type=Multimedia
OutputType1=Schematic Print
OutputName1=Schematic Prints of RTM_Interface_Tester.SchDoc
OutputCategory1=Documentation
OutputDocumentPath1=RTM_Interface_Tester.SchDoc
OutputVariantName1=
OutputEnabled1=1
OutputEnabled1_OutputMedium1=0
OutputEnabled1_OutputMedium2=1
OutputEnabled1_OutputMedium3=0
OutputEnabled1_OutputMedium4=0
OutputDefault1=0
PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=0.67|XCorrection=1.00|YCorrection=1.00|PrintKind=0|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
Configuration1_Name1=OutputConfigurationParameter1
Configuration1_Item1=Record=SchPrintView|ShowNoERC=True|ShowParamSet=True|ShowProbe=True|ShowBlanket=True|ExpandDesignator=True|ExpandNetLabel=False|ExpandPort=False|ExpandSheetNum=False|ExpandDocNum=False|PrintArea=0|PrintAreaRect.X1=0|PrintAreaRect.Y1=0|PrintAreaRect.X2=0|PrintAreaRect.Y2=0
OutputType2=BOM_PartType
OutputName2=Bill of Materials
OutputCategory2=Report
OutputDocumentPath2=
OutputVariantName2=[No Variations]
OutputEnabled2=1
OutputEnabled2_OutputMedium1=0
OutputEnabled2_OutputMedium2=2
OutputEnabled2_OutputMedium3=0
OutputEnabled2_OutputMedium4=0
OutputDefault2=0
PageOptions2=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=0|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
Configuration2_Name1=Filter
Configuration2_Item1=545046300E5446696C74657257726170706572000D46696C7465722E416374697665090F46696C7465722E43726974657269610A04000000000000000000
Configuration2_Name2=General
Configuration2_Item2=TemplateFilename=BOM Default Template.XLT
Configuration2_Name3=GroupOrder
Configuration2_Item3=Comment=True|Footprint=True
Configuration2_Name4=OutputConfigurationParameter1
Configuration2_Item4=ue|ExpandNetLabel=False|ExpandPort=False|ExpandSheetNum=False|ExpandDocNum=False|PrintArea=0|PrintAreaRect.X1=0|PrintAreaRect.Y1=0|PrintAreaRect.X2=0|PrintAreaRect.Y2=0
Configuration2_Name5=SortOrder
Configuration2_Item5=Comment=Up
Configuration2_Name6=VisibleOrder
Configuration2_Item6=Description=100|Comment=100|Designator=416|Quantity=100
This diff is collapsed.
Record=TopLevelDocument|FileName=RTM_Interface_Tester.SchDoc
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment