Commit 44d1350c authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

Minor changes to enable bitstream generation. Will need cleaning up

parent feac5e78
conv-common-gw @ cba9c6a6
Subproject commit cce8e7784683e8c23bddd8b34a5eea9ebcecd083
Subproject commit cba9c6a65dc59c0d2b16eac8ac9d9b8c707d536f
......@@ -90,18 +90,18 @@ entity conv_ttl_blo is
blo_oen_o : out std_logic;
-- Front panel channels
ttl_n_i : in std_logic_vector(5 downto 0);
ttl_o : out std_logic_vector(5 downto 0);
ttl_n_i : in std_logic_vector(5 downto 0);--
ttl_o : out std_logic_vector(5 downto 0);--
inv_n_i : in std_logic_vector(3 downto 0);
inv_o : out std_logic_vector(3 downto 0);
-- Rear panel channels
blo_i : in std_logic_vector(5 downto 0);
blo_o : out std_logic_vector(5 downto 0);
blo_i : in std_logic_vector(5 downto 0);--
blo_o : out std_logic_vector(5 downto 0);--
-- Channel leds
led_front_n_o : out std_logic_vector(5 downto 0);
led_front_n_o : out std_logic_vector(5 downto 0);--
led_front_inv_n_o : out std_logic_vector(3 downto 0);
led_rear_n_o : out std_logic_vector(5 downto 0);
......@@ -173,7 +173,7 @@ architecture arch of conv_ttl_blo is
constant c_board_id : std_logic_vector(31 downto 0) := x"54424c4f";
-- Gateware version
constant c_gwvers : std_logic_vector(7 downto 0) := x"30";
constant c_gwvers : std_logic_vector(7 downto 0) := x"40";
--============================================================================
......@@ -342,10 +342,12 @@ begin
g_gwvers => c_gwvers,
g_pgen_fixed_width => true,
g_pgen_pwidth => 24,
g_pgen_pwidth_sh => 5,
g_pgen_duty_cycle_div => 200,
g_pgen_duty_cycle_div_sh => 2,
g_pgen_gf_len => 1,
g_burstctrl_max_burst_len => 5,
g_burstctrl_timeout => 200000,
g_burstctrl_1_pulse_temp_rise => x"2670",
g_burstctrl_max_temp => x"02540BE400",
g_with_pulse_cnt => true,
g_with_pulse_timetag => true,
g_with_man_trig => true,
......@@ -491,9 +493,11 @@ begin
-----------------------------------------
-- LED outputs
led_front_n_o <= not led_pulse;
led_front_inv_n_o <= not led_inv_pulse;
--led_front_n_o <= not led_pulse;
led_front_n_o <= "101010";
--led_front_inv_n_o <= not led_inv_pulse;
led_front_inv_n_o <= "1010";
led_rear_n_o <= not led_pulse;
-- INV-TTL outputs
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment