Commit 2b816fc4 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

Generics for burst control thermal model set in top module

parent 6918e787
......@@ -182,6 +182,9 @@ architecture arch of conv_ttl_blo is
type t_ttlbar_nosig_cnt is array (c_nr_chans-1 downto 0) of unsigned(10 downto 0);
--Array of constants for temperature model implemented for long long mode
type t_temp_decre_step_lg is array (0 to 14) of integer;
--============================================================================
-- Signal declarations
--============================================================================
......@@ -199,6 +202,10 @@ architecture arch of conv_ttl_blo is
signal inhibit_first_pulse : std_logic;
signal inhibit_first_pulse_d0 : std_logic;
signal inhibit_cnt : unsigned(10 downto 0);
--Temperature model constantstemp_decre_step_lg
signal temp_decre_step_lg : t_temp_decre_step;
signal temp_decre_step_sh : t_temp_decre_step;
-- Line signals -- for reflection in line status register of conv_common_gw
signal line_ttl : std_logic_vector(c_nr_chans-1 downto 0);
......@@ -336,25 +343,30 @@ begin
(
g_nr_chans => 6,
g_nr_inv_chans => 4,
g_board_id => c_board_id,
g_gwvers => c_gwvers,
g_pgen_fixed_width => true,
g_pgen_pwidth => 24,
g_pgen_pwidth_sh => 5,
g_pgen_duty_cycle_div => 200,
g_pgen_duty_cycle_div_sh => 2,
g_pgen_gf_len => 1,
g_burstctrl_1_pulse_temp_rise => x"1388",
g_burstctrl_max_temp => x"02540BE400",
g_with_pulse_cnt => true,
g_with_pulse_timetag => true,
g_with_man_trig => true,
g_man_trig_pwidth => 24,
g_with_thermometer => true,
g_bicolor_led_columns => c_bicolor_led_cols,
g_bicolor_led_lines => c_bicolor_led_lines
g_nr_chans => 6,
g_nr_inv_chans => 4,
g_board_id => c_board_id,
g_gwvers => c_gwvers,
g_pgen_fixed_width => true,
g_pgen_pwidth_lg => 24,
g_pgen_pwidth_sh => 5,
g_pgen_duty_cycle_div_lg => 16, --changed from 200
g_pgen_duty_cycle_div_sh => 2,
g_pgen_gf_len => 1,
g_temp_decre_step_lg => (0,0,0,0,0,0,0,5750,100,79,13,12,4,5,13),
g_temp_decre_step_sh => (0, 769, 31, 104, 14, 82, 0 ,0, 0, 0, 0, 0, 0, 0, 0),
g_burstctrl_1_pulse_temp_rise_lg => x"23040",
g_burstctrl_1_pulse_temp_rise_sh => x"01388",
--g_burstctrl_max_temp_lg_sh=> x"02540BE400", -- 10^10
g_burstctrl_max_temp_lg_sh=> x"00000F4240", --10^6
g_with_pulse_cnt => true,
g_with_pulse_timetag => true,
g_with_man_trig => true,
g_man_trig_pwidth => 24,
g_with_thermometer => true,
g_bicolor_led_columns => c_bicolor_led_cols,
g_bicolor_led_lines => c_bicolor_led_lines
)
port map
(
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment