1. 17 Jan, 2018 2 commits
    • Maciej Lipinski's avatar
      [testbench->HACK] decrease the reset timer · 656898b6
      Maciej Lipinski authored
      Changed manual the value of the reset timer appropriately for
      simulation. This is a temporary solution. a simulation generic
      is needed to make the conv_common_gw work for both, simulation
      and synthesis (similar to WRPC, etc)
      656898b6
    • Maciej Lipinski's avatar
      [testbench] added top-level testbench basis · 69f3c0e9
      Maciej Lipinski authored
      It is based on the testbench in conv-ttl-blo-gw/sim/Release
      and includes
      - top-level (testbench.vhd) with DUT and i2c facilites (next)
      - i2c_bus_model.vhd to connect DUT with i2c_master_and_driver
      - i2c_master_and_driver that allows access to DUT's register via i2c
      - read_i2c procedures to easily use the driver (write_i2c semi-ready)
      69f3c0e9
  2. 27 Oct, 2017 1 commit
  3. 12 Oct, 2017 2 commits
  4. 10 Oct, 2017 3 commits
  5. 09 Oct, 2017 2 commits
  6. 03 Oct, 2017 1 commit
  7. 27 Sep, 2017 1 commit
  8. 26 Sep, 2017 2 commits
  9. 25 Sep, 2017 2 commits
  10. 13 Jul, 2017 2 commits
  11. 08 Mar, 2017 5 commits
  12. 07 Mar, 2017 1 commit
  13. 03 Mar, 2017 1 commit
  14. 28 Feb, 2017 1 commit
  15. 23 Feb, 2017 1 commit
  16. 17 Feb, 2017 1 commit
  17. 14 Feb, 2017 2 commits
  18. 13 Feb, 2017 4 commits
  19. 01 Feb, 2017 2 commits
    • Denia Bouhired-Ferrag's avatar
      Two important changes to wishbone file: 1-the TBMR read request output… · ba9673e5
      Denia Bouhired-Ferrag authored
      Two important changes to wishbone file: 1-the TBMR read request output reg_tb_rd_req_p_o is presend in the conv_regs.vhd in the master branch, but no indication of how it has been added in the corresponding *.wb file. The conclusion was that the *.vhd file was either generated from a different *.wb file or has been modified manually. The right expression, ack_read=port_out, is now used in the *.wb file and fixes the problem. 2-Memory map has been modified to add PCB version information. Additionally a new type of error has been defined: flim_err and fwdg_err. To do so, a new error register has been created to put all error types together. The SR register now provides the hw version in addition to previous information, apart from errors.
      ba9673e5
    • Denia Bouhired-Ferrag's avatar
      Implementation post-review. Main changes are to pull the falling and rising… · eb610e84
      Denia Bouhired-Ferrag authored
      Implementation post-review. Main changes are to pull the falling and rising edges of the asynch pulses directly from the conv_pulse_gen module as outputs and input those the burst controller. The top files have been modified accordingly. Also the pulse output now is correctly generated at the poutput port depending on PCB version and pulse width selection
      eb610e84
  20. 27 Jan, 2017 1 commit
  21. 25 Jan, 2017 1 commit
  22. 24 Jan, 2017 2 commits