Commit 92778c1f authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

Made sure latest timestamp registers are not loaded on WB cycle

This is to make sure no readouts of erroneous values from this registers
occur due to the register value not being stable at the time the WB read
cycle is requested.
parent 07ba0838
......@@ -1031,7 +1031,11 @@ end generate;
else
lts_ld_toggle_d0(i) <= lts_ld_toggle(i);
lts_ld_toggle_d1(i) <= lts_ld_toggle_d0(i);
lts_ld_20(i) <= lts_ld_toggle_d0(i) xor lts_ld_toggle_d1(i);
-- avoid garbled data in regs by disabling load pulse on WB transfer
if (xbar_master_out(c_slv_conv_regs).stb = '0') and
(xbar_master_out(c_slv_conv_regs).cyc = '0') then
lts_ld_20(i) <= lts_ld_toggle_d0(i) xor lts_ld_toggle_d1(i);
end if;
end if;
end loop;
end if;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment