Commit d31ec222 authored by Antonin Broquet's avatar Antonin Broquet

circuit_board: fix issue #11. Green led instead of expected blue led due to pad/package matching.

parent b29a3936
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment