Commit 84fb3e86 authored by Lucas Russo's avatar Lucas Russo

syn/afc_v3/*/dbe_bpm2/*: split synthesis sdb into a separate script

parent cdb9fa7d
#!/bin/bash
SYNTH_INFO_PROJECT="bpm-gw"
SYNTH_INFO_TOOL="VIVADO"
SYNTH_INFO_VER=$(vivado -version | head -n 1 | cut -d' ' -f2 | cut -d 'v' -f2)
# Exit on error
set -e
# Check for uninitialized variables
set -u
SYNTH_INFO_COMMAND="../../../gen_sdbsyn.py --project ${SYNTH_INFO_PROJECT} --tool ${SYNTH_INFO_TOOL} --ver ${SYNTH_INFO_VER}"
COMMAND="(time make; date) 2>&1 | tee make_output &"
./build_synthesis_sdb.sh
# Generate synthesis file
echo $SYNTH_INFO_COMMAND
eval $SYNTH_INFO_COMMAND
COMMAND="(time make; date) 2>&1 | tee make_output &"
#echo $COMMAND
#eval $COMMAND
echo $COMMAND
eval $COMMAND
#!/bin/bash
SYNTH_INFO_PROJECT="bpm-gw"
SYNTH_INFO_TOOL="VIVADO"
SYNTH_INFO_VER=$(vivado -version | head -n 1 | cut -d' ' -f2 | cut -d 'v' -f2)
# Exit on error
set -e
# Check for uninitialized variables
set -u
SYNTH_INFO_COMMAND="../../../gen_sdbsyn.py --project ${SYNTH_INFO_PROJECT} --tool ${SYNTH_INFO_TOOL} --ver ${SYNTH_INFO_VER}"
COMMAND="(hdlmake; make cleanremote; time make remote; make sync; date) 2>&1 | tee make_output &"
./build_synthesis_sdb.sh
# Generate synthesis file
echo $SYNTH_INFO_COMMAND
eval $SYNTH_INFO_COMMAND
COMMAND="(hdlmake; make cleanremote; time make remote; make sync; date) 2>&1 | tee make_output &"
echo $COMMAND
eval $COMMAND
#!/bin/bash
# Exit on error
set -e
# Check for uninitialized variables
set -u
SYNTH_INFO_PROJECT="bpm-gw"
SYNTH_INFO_TOOL="VIVADO"
SYNTH_INFO_VER=$(vivado -version | head -n 1 | cut -d' ' -f2 | cut -d 'v' -f2)
SYNTH_INFO_COMMAND="../../../gen_sdbsyn.py --project ${SYNTH_INFO_PROJECT} --tool ${SYNTH_INFO_TOOL} --ver ${SYNTH_INFO_VER}"
# Generate synthesis file
echo $SYNTH_INFO_COMMAND
eval $SYNTH_INFO_COMMAND
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment