Commit d98ed976 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

changed sfp_scl_i to sfp_scl_b to show bi-directional port

parent be2d0b29
......@@ -198,7 +198,7 @@ entity conv_common_gw is
sfp_present_i : in std_logic;
sfp_rate_select_o : out std_logic;
sfp_sda_b : inout std_logic;
sfp_scl_i : inout std_logic;
sfp_scl_b : inout std_logic;
sfp_tx_disable_o : out std_logic;
sfp_tx_fault_i : in std_logic;
......@@ -1715,7 +1715,7 @@ end generate gen_thermometer;
-- SFP lines all open-drain, set to high-impedance
sfp_rate_select_o <= 'Z';
sfp_sda_b <= 'Z';
sfp_scl_i <= 'Z';
sfp_scl_b <= 'Z';
sfp_tx_disable_o <= 'Z';
end architecture arch;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment