Commit d60eb611 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

Some ports have been renamed. Notable some of the sfp ports, and a start on…

Some ports have been renamed. Notable some of the sfp ports, and a start on trying to replace all signal references, ttl or blo, with reference to input type, front or rear.
parent 90c0de99
......@@ -142,8 +142,8 @@ entity conv_common_gw is
-- Pulse I/O
pulse_i : in std_logic_vector(g_nr_chans-1 downto 0);
pulse_ttl_i : in std_logic_vector(g_nr_chans-1 downto 0);
pulse_blo_i : in std_logic_vector(g_nr_chans-1 downto 0);
pulse_front_i : in std_logic_vector(g_nr_chans-1 downto 0);
pulse_rear_i : in std_logic_vector(g_nr_chans-1 downto 0);
pulse_o : out std_logic_vector(g_nr_chans-1 downto 0);
-- Inverted pulse I/O
......@@ -194,10 +194,10 @@ entity conv_common_gw is
-- SFP lines
sfp_los_i : in std_logic;
sfp_mod_def0_i : in std_logic;
sfp_present_i : in std_logic;
sfp_rate_select_o : out std_logic;
sfp_mod_def1_b : inout std_logic;
sfp_mod_def2_b : inout std_logic;
sfp_sda_b : inout std_logic;
sfp_scl_i : inout std_logic;
sfp_tx_disable_o : out std_logic;
sfp_tx_fault_i : in std_logic;
......@@ -718,7 +718,7 @@ cmp_pulse_cnt_ttl: fastevent_counter
sysclk_i => clk_20_i,
rstcount_i => rst_ttl_cnt(i),
en_i => '1',
trig_i => pulse_ttl_i(i),
trig_i => pulse_front_i(i),
count_int_o => ttl_pulse_c(i)
);
......@@ -727,7 +727,7 @@ cmp_pulse_cnt_blo: fastevent_counter
sysclk_i => clk_20_i,
rstcount_i => rst_blo_cnt(i),
en_i => '1',
trig_i => pulse_blo_i(i),
trig_i => pulse_rear_i(i),
count_int_o => blo_pulse_c(i)
);
......@@ -1712,8 +1712,8 @@ end generate gen_latest_timestamp_unused_chans;
-- SFP lines all open-drain, set to high-impedance
sfp_rate_select_o <= 'Z';
sfp_mod_def1_b <= 'Z';
sfp_mod_def2_b <= 'Z';
sfp_sda_b <= 'Z';
sfp_scl_i <= 'Z';
sfp_tx_disable_o <= 'Z';
......
......@@ -146,8 +146,8 @@ package conv_common_gw_pkg is
-- Pulse inputs
pulse_i : in std_logic_vector(g_nr_chans-1 downto 0);
pulse_ttl_i : in std_logic_vector(g_nr_chans-1 downto 0);
pulse_blo_i : in std_logic_vector(g_nr_chans-1 downto 0);
pulse_front_i : in std_logic_vector(g_nr_chans-1 downto 0);
pulse_rear_i : in std_logic_vector(g_nr_chans-1 downto 0);
pulse_o : out std_logic_vector(g_nr_chans-1 downto 0);
-- Channel leds
......@@ -194,10 +194,10 @@ package conv_common_gw_pkg is
-- SFP lines
sfp_los_i : in std_logic;
sfp_mod_def0_i : in std_logic;
sfp_present_i : in std_logic;
sfp_rate_select_o : out std_logic;
sfp_mod_def1_b : inout std_logic;
sfp_mod_def2_b : inout std_logic;
sfp_sda_b : inout std_logic;
sfp_scl_i : inout std_logic;
sfp_tx_disable_o : out std_logic;
sfp_tx_fault_i : in std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment