Commit d1ee7528 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

Small edits, reset time back to 100ms

parent ba6430bd
......@@ -43,6 +43,7 @@
-- 2014-07-24 Theodor Stana File created
-- 2016-08-05 Denia Bouhired Moved inv ttl signals inside common gateware
-- and also added support for inv pulse LEDs
--Denia Bouhired Added support for one-wire thermometer register read out
--==============================================================================
-- TODO: -
--==============================================================================
......@@ -663,7 +664,7 @@ end generate gen_pulse_cnt;
-- Instantiate pulse generator block for the channel for normal continuous mode
cmp_pulse_gen_5 : conv_pulse_gen
cmp_pulse_gen_1200ns : conv_pulse_gen
generic map
(
g_with_fixed_pwidth => g_pgen_fixed_width,
......@@ -691,7 +692,7 @@ end generate gen_pulse_cnt;
-------------------------------------------------------------------------------------
--Instantiate pulse generator block for minimum pulse width and minimum allowed duty cycle
cmp_pulse_gen : conv_pulse_gen
cmp_pulse_gen_250ns : conv_pulse_gen
generic map
(
g_with_fixed_pwidth => g_pgen_fixed_width,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment