Commit b935312b authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

Small edits, reset time back to 100ms

parent d1ee7528
......@@ -1436,7 +1436,9 @@ end generate gen_latest_timestamp_unused_chans;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- pps generator based on the 100 MHz clk
cmp_pps_gen: wf_decr_counter
generic map(g_counter_lgth => 25)
generic map(
g_counter_lgth => 25
)
port map
(uclk_i => clk_20_i,
counter_rst_i => rst_20_n,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment