Commit b2dc9098 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

Minor. Alignment of code lines

parent 2a1ace18
......@@ -260,13 +260,15 @@ package conv_common_gw_pkg is
-- Pulse counter - Used for scenarios where clocks are shorter than the time it takes to synchronise them (Normally it taked 3 clk cycles to synchronise trigger edge.)
------------------------------------------------------------------------------
component fastevent_counter is
port (sysclk_i : in std_logic;
port
(sysclk_i : in std_logic;
rstcount_i : in std_logic;
en_i : in std_logic;
trig_i : in std_logic;
count_o : out std_logic_vector(31 downto 0);
count_int_o: out unsigned(31 downto 0));
end component;
count_int_o: out unsigned(31 downto 0)
);
end component fastevent_counter;
------------------------------------------------------------------------------
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment