Commit 3c81af0f authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

modified conv common so that the rest time is set from the top module or from the testbench

parent e65f9b5f
...@@ -57,6 +57,10 @@ use work.conv_common_gw_pkg.all; ...@@ -57,6 +57,10 @@ use work.conv_common_gw_pkg.all;
entity conv_common_gw is entity conv_common_gw is
generic generic
( (
-- Reduces some timeouts to speed up simulations
g_simul : boolean := false;
-- Reset time: 50ns * 2 * (10**6) = 100 ms
g_rst_time : positive := 2*(10**6);
-- Number of repeater channels -- Number of repeater channels
g_nr_chans : integer := 6; g_nr_chans : integer := 6;
-- Number of inverter channels -- Number of inverter channels
...@@ -314,6 +318,7 @@ architecture arch of conv_common_gw is ...@@ -314,6 +318,7 @@ architecture arch of conv_common_gw is
signal rst_20_n : std_logic; signal rst_20_n : std_logic;
signal rst_20 : std_logic; signal rst_20 : std_logic;
signal rst_ext : std_logic; signal rst_ext : std_logic;
signal rst_time : positive := 10;
-- Pulse logic signals -- Pulse logic signals
signal trig_a : std_logic_vector(g_nr_chans-1 downto 0); signal trig_a : std_logic_vector(g_nr_chans-1 downto 0);
...@@ -543,12 +548,13 @@ begin ...@@ -543,12 +548,13 @@ begin
-- External reset input to reset generator -- External reset input to reset generator
rst_ext <= rst_fr_reg or (not vme_sysreset_n_i); rst_ext <= rst_fr_reg or (not vme_sysreset_n_i);
-- Configure reset generator for 100ms reset -- Configure reset generator for 100ms reset
cmp_reset_gen : conv_reset_gen cmp_reset_gen : conv_reset_gen
generic map generic map
( (
-- Reset time: 50ns * 2 * (10**6) = 100 ms g_reset_time => g_rst_time
g_reset_time => 2*(10**6)
) )
port map port map
( (
......
...@@ -71,6 +71,10 @@ package conv_common_gw_pkg is ...@@ -71,6 +71,10 @@ package conv_common_gw_pkg is
component conv_common_gw is component conv_common_gw is
generic generic
( (
-- Reduces some timeouts to speed up simulations
g_simul : boolean := false;
-- reset time
g_rst_time : positive := 2*(10**6);
-- Number of repeater channels -- Number of repeater channels
g_nr_chans : integer := 6; g_nr_chans : integer := 6;
g_nr_inv_chans : integer := 4; g_nr_inv_chans : integer := 4;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment