Commit 2a1ace18 authored by Denia Bouhired-Ferrag's avatar Denia Bouhired-Ferrag

Typos and alignments

parent 53a7ca64
......@@ -384,6 +384,7 @@ architecture arch of conv_common_gw is
signal fwdg_pmisse_bit_rst : std_logic_vector(c_max_nr_chans-1 downto 0);
signal fwdg_pmisse_bit_rst_ld : std_logic;
signal pmisse_bits_or : std_logic;
--signals for pulse counters
signal rst_front_cnt : std_logic_vector(c_max_nr_chans-1 downto 0);
......@@ -763,13 +764,11 @@ end generate gen_pulse_cnt_unused_chans;
--------------------------------------------------------------------------------
end generate gen_pulse_cnt;
--------------------------------------------------------------------------------
-------------------------------------------------------------------------------------------------
-- Instantiate pulse generator + burst controller block for the channel for LONG pulse operation
-------------------------------------------------------------------------------------------------
-- CONTINUOUS MODE
-- Instantiate pulse generator alone for CONTINUOUS MODE
-------------------
--Instantiate pulse generator block for continuous operation without burst feature
......@@ -784,22 +783,17 @@ end generate gen_pulse_cnt;
(
clk_i => clk_20_i,
rst_n_i => rst_20_n,
gf_en_n_i => gf_en_n_i,
en_i => '1',
trig_a_i => trig_pgen(i),
trig_r_edge_p_i => trig_chan_redge_p(i),
trig_f_edge_p_i => trig_chan_fedge_p(i),
pulse_err_p_o => pulse_outp_err_cont (i),
pulse_o => pulse_outp_cont(i)
);
----------------------------------------------------------------------------------------------
-- Instantiate pulse generator + burst controller block for the channel for long pulse operation
-- Instantiate pulse generator + burst controller block for the channel for LONG pulse operation
-------------------------------------------------------------------------------------------------
-- BURST MODE WITH LONG PULSES
----------------------------------
......@@ -815,17 +809,12 @@ end generate gen_pulse_cnt;
(
clk_i => clk_20_i,
rst_n_i => rst_20_n,
gf_en_n_i => gf_en_n_i,
en_i => '1',
trig_a_i => trig_pgen(i),
trig_r_edge_p_i => trig_chan_redge_p(i),
trig_f_edge_p_i => trig_chan_fedge_p(i),
pulse_err_p_o => pulse_outp_err_lg_p (i),
pulse_o => pulse_outp_lg(i),
pulse_r_edge_p_o => pulse_r_edge_lg_p(i),
pulse_f_edge_p_o => pulse_f_edge_lg_p(i)
......@@ -851,7 +840,6 @@ end generate gen_pulse_cnt;
temp_rise_o => temp_rise_c_lg(i),
pulse_burst_o => burst_outp_lg(i),
burst_err_p_o => burst_outp_err_lg_p(i)
);
----------------------------------------------------------------------------------------------
......@@ -871,17 +859,12 @@ end generate gen_pulse_cnt;
(
clk_i => clk_20_i,
rst_n_i => rst_20_n,
gf_en_n_i => gf_en_n_i,
en_i => '1',
trig_a_i => trig_pgen(i),
trig_r_edge_p_i => trig_chan_redge_p(i),
trig_f_edge_p_i => trig_chan_fedge_p(i),
pulse_err_p_o => pulse_outp_err_sh_p (i),
pulse_o => pulse_outp_sh(i),
pulse_r_edge_p_o => pulse_r_edge_sh_p(i) ,
pulse_f_edge_p_o => pulse_f_edge_sh_p(i)
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment