Commit 07ba0838 authored by Theodor-Adrian Stana's avatar Theodor-Adrian Stana

Small changes in modelsim do files

parent 21f76124
...@@ -6,5 +6,5 @@ radix -hexadecimal ...@@ -6,5 +6,5 @@ radix -hexadecimal
#add wave * #add wave *
do wave.do do wave.do
run 100 us run 300 us
wave zoomfull wave zoomfull
...@@ -8,8 +8,6 @@ add wave -noupdate /testbench/trig_man ...@@ -8,8 +8,6 @@ add wave -noupdate /testbench/trig_man
add wave -noupdate -expand /testbench/pulse add wave -noupdate -expand /testbench/pulse
add wave -noupdate -divider DUT add wave -noupdate -divider DUT
add wave -noupdate /testbench/cmp_dut/state add wave -noupdate /testbench/cmp_dut/state
add wave -noupdate /testbench/cmp_dut/pass
add wave -noupdate /testbench/cmp_dut/chnr
add wave -noupdate /testbench/cmp_dut/cnt add wave -noupdate /testbench/cmp_dut/cnt
add wave -noupdate /testbench/cmp_dut/trig_o add wave -noupdate /testbench/cmp_dut/trig_o
add wave -noupdate -divider {glitch filt} add wave -noupdate -divider {glitch filt}
...@@ -48,4 +46,4 @@ configure wave -griddelta 40 ...@@ -48,4 +46,4 @@ configure wave -griddelta 40
configure wave -timeline 0 configure wave -timeline 0
configure wave -timelineunits ns configure wave -timelineunits ns
update update
WaveRestoreZoom {0 ps} {315 us} WaveRestoreZoom {0 ps} {105 us}
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment