Commit 681cd126 authored by Tristan Gingold's avatar Tristan Gingold Committed by Dimitris Lampridis

mt_mqueue_pkg: remove unused req element of t_mt_stream_sink_in

parent 623818ee
......@@ -35,7 +35,6 @@ package mt_mqueue_pkg is
constant c_MT_STREAM_TAG_PAYLOAD : std_logic_vector(1 downto 0) := "01";
type t_mt_stream_sink_in is record
req : std_logic;
data : std_logic_vector(31 downto 0);
hdr : std_logic;
valid : std_logic;
......@@ -66,7 +65,7 @@ package mt_mqueue_pkg is
constant c_MT_DUMMY_SOURCE_IN : t_mt_stream_sink_out :=
('0', '0');
constant c_MT_DUMMY_SINK_IN : t_mt_stream_sink_in :=
('0', x"00000000", '0', '0', '0', '0');
(x"00000000", '0', '0', '0', '0');
constant c_MT_DUMMY_EP_CONFIG_OUT : t_mt_stream_config_out :=
("00000000000", x"00000000", '0');
......
......@@ -76,8 +76,7 @@ begin
dat => (others => 'X'),
we => 'X',
wmask => "XXXX");
src_o <= (req => not inb_stat_i.empty,
data => (others => 'X'),
src_o <= (data => (others => 'X'),
hdr => 'X',
valid => '0',
last => 'X',
......@@ -87,8 +86,7 @@ begin
pkt_last_addr => (others => 'X'));
else
n_state <= state;
src_o <= (req => not inb_stat_i.empty,
data => (others => 'X'),
src_o <= (data => (others => 'X'),
hdr => 'X',
valid => '0',
last => 'X',
......@@ -134,8 +132,7 @@ begin
unsigned("1" & inb_i.dat(10 downto 0) & "00" ) - 4;
end if;
src_o <= (req => not inb_stat_i.empty,
data => inb_i.dat,
src_o <= (data => inb_i.dat,
hdr => '1',
valid => '1',
last => '0',
......@@ -174,8 +171,7 @@ begin
wmask => "XXXX");
-- Send payload word
src_o <= (req => not inb_stat_i.empty,
data => x"0000" & inb_i.dat(31 downto 16),
src_o <= (data => x"0000" & inb_i.dat(31 downto 16),
hdr => '0',
valid => '1',
last => '0',
......@@ -197,8 +193,7 @@ begin
wmask => "XXXX");
-- Send payload word
src_o <= (req => not inb_stat_i.empty,
data => x"0000" & inb_i.dat(15 downto 0) ,
src_o <= (data => x"0000" & inb_i.dat(15 downto 0) ,
hdr => '0',
valid => '1',
last => payload_last,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment